Как создаются микросхемы. Как самостоятельно изготовить печатную плату в домашних условиях

Как делают микросхемы

тобы понять, в чем заключается основное различие между этими двумя технологиями, необходимо сделать краткий экскурс в саму технологию производства современных процессоров или интегральных микросхем.

Как известно из школьного курса физики, в современной электронике основными компонентами интегральных микросхем являются полупроводники p-типа и n-типа (в зависимости от типа проводимости). Полупроводник — это вещество, по проводимости превосходящее диэлектрики, но уступающее металлам. Основой полупроводников обоих типов может служить кремний (Si), который в чистом виде (так называемый собственный полупроводник) плохо проводит электрический ток, однако добавление (внедрение) в кремний определенной примеси позволяет радикально изменить его проводящие свойства. Существует два типа примеси: донорная и акцепторная. Донорная примесь приводит к образованию полупроводников n-типа c электронным типом проводимости, а акцепторная — к образованию полупроводников p-типа с дырочным типом проводимости. Контакты p- и n-полупроводников позволяют формировать транзисторы — основные структурные элементы современных микросхем. Такие транзисторы, называемые КМОП-транзисторами, могут находиться в двух основных состояниях: открытом, когда они проводят электрический ток, и запертом — при этом они электрический ток не проводят. Поскольку КМОП-транзисторы являются основными элементами современных микросхем, поговорим о них подробнее.

Как устроен КМОП-транзистор

Простейший КМОП-транзистор n-типа имеет три электрода: исток, затвор и сток. Сам транзистор выполнен в полупроводнике p-типа с дырочной проводимостью, а в областях стока и истока формируются полупроводники n-типов с электронной проводимостью. Естественно, что за счет диффузии дырок из p-области в n-область и обратной диффузии электронов из n-области в p-область на границах переходов p- и n-областей формируются обедненные слои (слои, в которых отсутствуют основные носители зарядов). В обычном состоянии, то есть когда к затвору не прикладывается напряжение, транзистор находится в «запертом» состоянии, то есть не способен проводить ток от истока к стоку. Ситуация не меняется, даже если приложить напряжение между стоком и истоком (при этом мы не принимаем во внимание токи утечки, вызванные движением под воздействием формируемых электрических полей неосновных носителей заряда, то есть дырок для n-области и электронов для p-области).

Однако если к затвору приложить положительный потенциал (рис. 1), то ситуация в корне изменится. Под воздействием электрического поля затвора дырки выталкиваются в глубь p-полупроводника, а электроны, наоборот, втягиваются в область под затвором, образуя обогащенный электронами канал между истоком и стоком. Если приложить к затвору положительное напряжение, эти электроны начинают двигаться от истока к стоку. При этом транзистор проводит ток — говорят, что транзистор «открывается». Если напряжение с затвора снимается, электроны перестают втягиваться в область между истоком и стоком, проводящий канал разрушается и транзистор перестает пропускать ток, то есть «запирается». Таким образом, меняя напряжение на затворе, можно открывать или запирать транзистор, аналогично тому, как можно включать или выключать обычный тумблер, управляя прохождением тока по цепи. Именно поэтому транзисторы иногда называют электронными переключателями. Однако, в отличие от обычных механических переключателей, КМОП-транзисторы практически безынерционны и способны переходить из открытого в запертое состояние триллионы раз в секунду! Именно этой характеристикой, то есть способностью мгновенного переключения, и определяется в конечном счете быстродействие процессора, который состоит из десятков миллионов таких простейших транзисторов.

Итак, современная интегральная микросхема состоит из десятков миллионов простейших КМОП-транзисторов. Остановимся более подробно на процессе изготовления микросхем, первый этап которого — получение кремниевых подложек.

Шаг 1. Выращивание болванок

Создание таких подложек начинается с выращивания цилиндрического по форме монокристалла кремния. В дальнейшем из таких монокристаллических заготовок (болванок) нарезают круглые пластины (wafers), толщина которых составляет приблизительно 1/40 дюйма, а диаметр — 200 мм (8 дюймов) или 300 мм (12 дюймов). Это и есть кремниевые подложки, служащие для производства микросхем.

При формировании пластин из монокристаллов кремния учитывается то обстоятельство, что для идеальных кристаллических структур физические свойства в значительной степени зависят от выбранного направления (свойство анизотропии). К примеру, сопротивление кремниевой подложки будет различным в продольном и поперечном направлениях. Аналогично, в зависимости от ориентации кристаллической решетки, кристалл кремния будет по-разному реагировать на какие-либо внешние воздействия, связанные с его дальнейшей обработкой (например, травление, напыление и т.д.). Поэтому пластина должна быть вырезана из монокристалла таким образом, чтобы ориентация кристаллической решетки относительно поверхности была строго выдержана в определенном направлении.

Как уже отмечалось, диаметр заготовки монокристалла кремния составляет либо 200, либо 300 мм. Причем диаметр 300 мм — это относительно новая технология, о которой мы расскажем ниже. Понятно, что на пластине такого диаметра может разместиться далеко не одна микросхема, даже если речь идет о процессоре Intel Pentium 4. Действительно, на одной подобной пластине-подложке формируется несколько десятков микросхем (процессоров), но для простоты мы рассмотрим лишь процессы, происходящие на небольшом участке одного будущего микропроцессора.

Шаг 2. Нанесение защитной пленки диэлектрика (SiO2)

После формирования кремниевой подложки наступает этап создания сложнейшей полупроводниковой структуры.

Для этого в кремний нужно внедрить так называемые донорную и акцепторную примеси. Однако возникает вопрос — как осуществить внедрение примесей по точно заданному рисунку-шаблону? Для того чтобы это стало возможным, те области, куда не требуется внедрять примеси, защищают специальной пленкой из диоксида кремния, оставляя оголенными только те участки, которые подвергаются дальнейшей обработке (рис. 2). Процесс формирования такой защитной пленки нужного рисунка состоит из нескольких этапов.

На первом этапе вся пластина кремния целиком покрывается тонкой пленкой диоксида кремния (SiO2), который является очень хорошим изолятором и выполняет функцию защитной пленки при дальнейшей обработке кристалла кремния. Пластины помещают в камеру, где при высокой температуре (от 900 до 1100 °С) и давлении происходит диффузия кислорода в поверхностные слои пластины, приводящая к окислению кремния и к образованию поверхностной пленки диоксида кремния. Для того чтобы пленка диоксида кремния имела точно заданную толщину и не содержала дефектов, необходимо строго поддерживать постоянную температуру во всех точках пластины в процессе окисления. Если же пленкой из диоксида кремния должна быть покрыта не вся пластина, то предварительно на кремниевую подложку наносится маска Si3N4, предотвращающая нежелательное окисление.

Шаг 3. Нанесение фоторезистива

После того как кремниевая подложка покроется защитной пленкой диоксида кремния, необходимо удалить эту пленку с тех мест, которые будут подвергаться дальнейшей обработке. Удаление пленки осуществляется посредством травления, а для защиты остальных областей от травления на поверхность пластины наносится слой так называемого фоторезиста. Термином «фоторезисты» обозначают светочувствительные и устойчивые к воздействию агрессивных факторов составы. Применяемые составы должны обладать, с одной стороны, определенными фотографическими свойствами (под воздействием ультрафиолетового света становиться растворимыми и вымываться в процессе травления), а с другой — резистивными, позволяющими выдерживать травление в кислотах и щелочах, нагрев и т.д. Основное назначение фоторезистов — создание защитного рельефа нужной конфигурации.

Процесс нанесения фоторезиста и его дальнейшее облучение ультрафиолетом по заданному рисунку называется фотолитографией и включает следующие основные операции: формирование слоя фоторезиста (обработка подложки, нанесение, сушка), формирование защитного рельефа (экспонирование, проявление, сушка) и передача изображения на подложку (травление, напыление и т.д.).

Перед нанесением слоя фоторезиста (рис. 3) на подложку последняя подвергается предварительной обработке, в результате чего улучшается ее сцепление со слоем фоторезиста. Для нанесения равномерного слоя фоторезиста используется метод центрифугирования. Подложка помещается на вращающийся диск (центрифуга), и под воздействием центробежных сил фоторезист распределяется по поверхности подложки практически равномерным слоем. (Говоря о практически равномерном слое, учитывают то обстоятельство, что под действием центробежных сил толщина образующейся пленки увеличивается от центра к краям, однако такой способ нанесения фоторезиста позволяет выдержать колебания толщины слоя в пределах ±10%.)

Шаг 4. Литография

После нанесения и сушки слоя фоторезиста наступает этап формирования необходимого защитного рельефа. Рельеф образуется в результате того, что под действием ультрафиолетового излучения, попадающего на определенные участки слоя фоторезиста, последний изменяет свойства растворимости, например освещенные участки перестают растворяться в растворителе, которые удаляют участки слоя, не подвергшиеся освещению, или наоборот — освещенные участки растворяются. По способу образования рельефа фоторезисты делят на негативные и позитивные. Негативные фоторезисты под действием ультрафиолетового излучения образуют защитные участки рельефа. Позитивные фоторезисты, напротив, под воздействием ультрафиолетового излучения приобретают свойства текучести и вымываются растворителем. Соответственно защитный слой образуется в тех участках, которые не подвергаются ультрафиолетовому облучению.

Для засветки нужных участков слоя фоторезиста используется специальный шаблон-маска. Чаще всего для этой цели применяются пластинки из оптического стекла с полученными фотографическим или иным способом непрозрачными элементами. Фактически такой шаблон содержит рисунок одного из слоев будущей микросхемы (всего таких слоев может насчитываться несколько сотен). Поскольку этот шаблон является эталоном, он должен быть выполнен с большой точностью. К тому же с учетом того, что по одному фотошаблону будет сделано очень много фотопластин, он должен быть прочным и устойчивым к повреждениям. Отсюда понятно, что фотошаблон — весьма дорогая вещь: в зависимости от сложности микросхемы он может стоить десятки тысяч долларов.

Ультрафиолетовое излучение, проходя сквозь такой шаблон (рис. 4), засвечивает только нужные участки поверхности слоя фоторезиста. После облучения фоторезист подвергается проявлению, в результате которого удаляются ненужные участки слоя. При этом открывается соответствующая часть слоя диоксида кремния.

Несмотря на кажущуюся простоту фотолитографического процесса, именно этот этап производства микросхем является наиболее сложным. Дело в том, что в соответствии с предсказанием Мура количество транзисторов на одной микросхеме возрастает экспоненциально (удваивается каждые два года). Подобное возрастание числа транзисторов возможно только благодаря уменьшению их размеров, но именно уменьшение и «упирается» в процесс литографии. Для того чтобы сделать транзисторы меньше, необходимо уменьшить геометрические размеры линий, наносимых на слой фоторезиста. Но всему есть предел — сфокусировать лазерный луч в точку оказывается не так-то просто. Дело в том, что в соответствии с законами волновой оптики минимальный размер пятна, в который фокусируется лазерный луч (на самом деле это не просто пятно, а дифракционная картина), определяется кроме прочих факторов и длиной световой волны. Развитие литографической технологии со времени ее изобретения в начале 70-х шло в направлении сокращения длины световой волны. Именно это позволяло уменьшать размеры элементов интегральной схемы. С середины 80-х в фотолитографии стало использоваться ультрафиолетовое излучение, получаемое с помощью лазера. Идея проста: длина волны ультрафиолетового излучения меньше, чем длина волны света видимого диапазона, следовательно, возможно получить и более тонкие линии на поверхности фоторезиста. До недавнего времени для литографии использовалось глубокое ультрафиолетовое излучение (Deep Ultra Violet, DUV) с длиной волны 248 нм. Однако когда фотолитография перешагнула границу 200 нм, возникли серьезные проблемы, впервые поставившие под сомнение возможность дальнейшего использования этой технологии. Например, при длине волны меньше 200 мкм слишком много света поглощается светочувствительным слоем, поэтому усложняется и замедляется процесс передачи шаблона схемы на процессор. Подобные проблемы побуждают исследователей и производителей искать альтернативу традиционной литографической технологии.

Новая технология литографии, получившая название ЕUV-литографии (Extreme UltraViolet — сверхжесткое ультрафиолетовое излучение), основана на использовании ультрафиолетового излучения с длиной волны 13 нм.

Переход с DUV- на EUV-литографию обеспечивает более чем 10-кратное уменьшение длины волны и переход в диапазон, где она сопоставима с размерами всего нескольких десятков атомов.

Применяемая сейчас литографическая технология позволяет наносить шаблон с минимальной шириной проводников 100 нм, в то время как EUV-литография делает возможной печать линий гораздо меньшей ширины — до 30 нм. Управлять ультракоротким излучением не так просто, как кажется. Поскольку EUV-излучение хорошо поглощается стеклом, то новая технология предполагает использование серии из четырех специальных выпуклых зеркал, которые уменьшают и фокусируют изображение, полученное после применения маски (рис. 5 , , ). Каждое такое зеркало содержит 80 отдельных металлических слоев толщиной примерно в 12 атомов.

Шаг 5. Травление

После засвечивания слоя фоторезиста наступает этап травления (etching) с целью удаления пленки диоксида кремния (рис. 8).

Часто процесс травления ассоциируется с кислотными ваннами. Такой способ травления в кислоте хорошо знаком радиолюбителям, которые самостоятельно делали печатные платы. Для этого на фольгированный текстолит лаком, выполняющим функцию защитного слоя, наносят рисунок дорожек будущей платы, а затем опускают пластину в ванну с азотной кислотой. Ненужные участки фольги стравливаются, обнажая чистый текстолит. Этот способ имеет ряд недостатков, главный из которых — невозможность точно контролировать процесс удаления слоя, так как слишком много факторов влияют на процесс травления: концентрация кислоты, температура, конвекция и т.д. Кроме того, кислота взаимодействует с материалом по всем направлениям и постепенно проникает под край маски из фоторезиста, то есть разрушает сбоку прикрытые фоторезистом слои. Поэтому при производстве процессоров используется сухой метод травления, называемый также плазменным. Такой метод позволяет точно контролировать процесс травления, а разрушение вытравливаемого слоя происходит строго в вертикальном направлении.

При использовании сухого травления для удаления с поверхности пластины диоксида кремния применяется ионизированный газ (плазма), который вступает в реакцию с поверхностью диоксида кремния, в результате чего образуются летучие побочные продукты.

После процедуры травления, то есть когда оголены нужные области чистого кремния, удаляется оставшаяся часть фотослоя. Таким образом, на кремниевой подложке остается рисунок, выполненный диоксидом кремния.

Шаг 6. Диффузия (ионная имплантация)

Напомним, что предыдущий процесс формирования необходимого рисунка на кремниевой подложке требовался для того, чтобы создать в нужных местах полупроводниковые структуры путем внедрения донорной или акцепторной примеси. Процесс внедрения примесей осуществляется посредством диффузии (рис. 9) — равномерного внедрения атомов примеси в кристаллическую решетку кремния. Для получения полупроводника n-типа обычно используют сурьму, мышьяк или фосфор. Для получения полупроводника p-типа в качестве примеси используют бор, галлий или алюминий.

Для процесса диффузии легирующей примеси применяется ионная имплантация. Процесс имплантации заключается в том, что ионы нужной примеси «выстреливаются» из высоковольтного ускорителя и, обладая достаточной энергией, проникают в поверхностные слои кремния.

Итак, по окончании этапа ионной имплантации необходимый слой полупроводниковой структуры создан. Однако в микропроцессорах таких слоев может насчитываться несколько. Для создания очередного слоя на полученном рисунке схемы выращивается дополнительный тонкий слой диоксида кремния. После этого наносятся слой поликристаллического кремния и еще один слой фоторезиста. Ультрафиолетовое излучение пропускается сквозь вторую маску и высвечивает соответствующий рисунок на фотослое. Затем опять следуют этапы растворения фотослоя, травления и ионной имплантации.

Шаг 7. Напыление и осаждение

Наложение новых слоев осуществляется несколько раз, при этом для межслойных соединений в слоях оставляются «окна», которые заполняются атомами металла; в результате на кристалле создаются металлические полоски — проводящие области. Таким образом в современных процессорах устанавливаются связи между слоями, формирующими сложную трехмерную схему. Процесс выращивания и обработки всех слоев длится несколько недель, а сам производственный цикл состоит из более чем 300 стадий. В результате на кремниевой пластине формируются сотни идентичных процессоров.

Чтобы выдержать воздействия, которым подвергаются пластины в процессе нанесения слоев, кремниевые подложки изначально делаются достаточно толстыми. Поэтому, прежде чем разрезать пластину на отдельные процессоры, ее толщину уменьшают на 33% и удаляют загрязнения с обратной стороны. Затем на тыльную сторону подложки наносят слой специального материала, улучшающего крепление кристалла к корпусу будущего процессора.

Шаг 8. Заключительный этап

По окончании цикла формирования все процессоры тщательно тестируются. Затем из пластины-подложки с помощью специального устройства вырезаются конкретные, уже прошедшие проверку кристаллы (рис. 10).

Каждый микропроцессор встраивается в защитный корпус, который также обеспечивает электрическое соединение кристалла микропроцессора с внешними устройствами. Тип корпуса зависит от типа и предполагаемого применения микропроцессора.

После запечатывания в корпус каждый микропроцессор повторно тестируется. Неисправные процессоры отбраковывают, а исправные подвергают нагрузочным испытаниям. Затем процессоры сортируют в зависимости от их поведения при различных тактовых частотах и напряжениях питания.

Перспективные технологии

Технологический процесс производства микросхем (в частности, процессоров) рассмотрен нами весьма упрощенно. Но даже такое поверхностное изложение позволяет понять технологические трудности, с которыми приходится сталкиваться при уменьшении размеров транзисторов.

Однако, прежде чем рассматривать новые перспективные технологии, ответим на поставленный в самом начале статьи вопрос: что же такое проектная норма технологического процесса и чем, собственно, отличается проектная норма 130 нм от нормы 180 нм? 130 нм или 180 нм — это характерное минимальное расстояние между двумя соседними элементами в одном слое микросхемы, то есть своеобразный шаг сетки, к которой осуществляется привязка элементов микросхемы. При этом совершенно очевидно, что, чем меньше этот характерный размер, тем больше транзисторов можно разместить на одной и той же площади микросхемы.

В настоящее время в производстве процессоров Intel используется 0,13-микронный технологический процесс. По этой технологии изготавливают процессор Intel Pentium 4 с ядром Northwood, процессор Intel Pentium III с ядром Tualatin и процессор Intel Celeron. В случае применения такого технологического процесса полезная ширина канала транзистора составляет 60 нм, а толщина оксидного слоя затвора не превышает 1,5 нм. Всего же в процессоре Intel Pentium 4 размещается 55 млн. транзисторов.

Наряду с увеличением плотности размещения транзисторов в кристалле процессора, 0,13-микронная технология, пришедшая на смену 0,18-микронной, имеет и другие нововведения. Во-первых, здесь используются медные соединения между отдельными транзисторами (в 0,18-микронной технологии соединения были алюминиевыми). Во-вторых, 0,13-микронная технология обеспечивает более низкое энергопотребление. Для мобильной техники, например, это означает, что энергопотребление микропроцессоров становится меньше, а время работы от аккумуляторной батареи — больше.

Ну и последнее нововведение, которое было воплощено при переходе на 0,13-микронный технологический процесс — это использование кремниевых пластин (wafer) диаметром 300 мм. Напомним, что до этого большинство процессоров и микросхем изготовлялись на основе 200-миллиметровых пластин.

Увеличение диаметра пластин позволяет снизить себестоимость каждого процессора и увеличить выход продукции надлежащего качества. Действительно, площадь пластины диаметром 300 мм в 2,25 раза больше площади пластины диаметром 200 мм, соответственно и количество процессоров, получаемых из одной пластины диаметром 300 мм, в два с лишним раза больше.

В 2003 году ожидается внедрение нового технологического процесса с еще меньшей проектной нормой, а именно 90-нанометрового. Новый технологический процесс, по которому корпорация Intel будет производить большую часть своей продукции, в том числе процессоры, наборы микросхем и коммуникационное оборудование, был разработан на опытном заводе D1C корпорации Intel по обработке 300-миллиметровых пластин в г.Хиллсборо (шт.Орегон).

23 октября 2002 года корпорация Intel объявила об открытии нового производства стоимостью 2 млрд. долл. в Рио-Ранчо (шт.Нью-Мексико). На новом заводе, получившем название F11X, будет применяться современная технология, по которой будут производиться процессоры на 300-мм подложках с использованием технологического процесса с проектной нормой 0,13 микрон. В 2003 году завод будет переведен на технологический процесс с проектной нормой 90 нм.

Кроме того, корпорация Intel уже заявила о возобновлении строительства еще одного производственного объекта на Fab 24 в Лейкслипе (Ирландия), который предназначен для изготовления полупроводниковых компонентов на 300-миллиметровых кремниевых подложках с 90-нанометровой проектной нормой. Новое предприятие общей площадью более 1 млн. кв. футов с особо чистыми помещениями площадью 160 тыс. кв. футов предполагается ввести в строй в первой половине 2004 года, и на нем будет работать более тысячи сотрудников. Стоимость объекта составляет около 2 млрд. долл.

В 90-нанометровом процессе применяется целый ряд передовых технологий. Это и самые маленькие в мире серийно изготавливаемые КМОП-транзисторы с длиной затвора 50 нм (рис. 11), что обеспечивает рост производительности при одновременном снижении энергопотребления, и самый тонкий оксидный слой затвора среди всех когда-либо производившихся транзисторов — всего 1,2 нм (рис. 12), или менее 5 атомарных слоев, и первая в отрасли реализация высокоэффективной технологии напряженного кремния.

Из перечисленных характеристик в комментариях нуждается, пожалуй, лишь понятие «напряженного кремния» (рис. 13). В таком кремнии расстояние между атомами больше, чем в обычном полупроводнике. Это, в свою очередь, обеспечивает более свободное протекание тока, аналогично тому, как на дороге с более широкими полосами движения свободнее и быстрее движется транспорт.

В результате всех нововведений на 10-20% улучшаются рабочие характеристики транзисторов, при увеличении затрат на производство всего на 2%.

Кроме того, в 90-нанометровом технологическом процессе используется семь слоев в микросхеме (рис. 14), что на один слой больше, чем в 130-нанометровом технологическом процессе, а также медные соединения.

Все эти особенности в сочетании с 300-миллиметровыми кремниевыми подложками обеспечивают корпорации Intel выигрыш в производительности, объемах производства и себестоимости. В выигрыше оказываются и потребители, поскольку новый технологический процесс Intel позволяет продолжить развитие отрасли в соответствии с законом Мура, вновь и вновь повышая производительность процессоров.

Современный мир настолько компьютеризирован, что наша жизнь практически не представляется без существования электронных приборов, сопровождающие нас во всех сферах нашей жизни и деятельности.
А прогресс не стоит на месте, а продолжается непрерывно совершенствоваться: устройства уменьшаются и становятся более мощные, более емкостные и более производительные. В основе этого процесса находится технология производства микросхем , представляющая собой в упрощенном варианте соединение нескольких без корпусных диодов, триодов, транзисторов, резисторов и других активных электронных компонентов (иногда их число в одной микросхеме достигает нескольких миллионов), объединенных одной схемой.

Полупроводниковые кристаллы (кремний, германия, оксид гафния, арсенид галлия) - являются основой производства всех микросхем. На них выполняются все элементные и межэлементные соединения. Самым распространенным из них является кремний, так как он по своим физико-химическим качествам, больше всех подходит для этих целей, полупроводником. Дело в том что полупроводниковые материалы относятся к классу с электрической проводимостью, находящейся между проводниками и изоляторами. И могут выступать в роли проводников и диэлектриков в зависимости от содержания в них других химических примесей.

Микросхемы создаются путем последовательного создания различных слоев на тонкой полупроводниковой пластине, которые предварительно полируются и доводятся механическими или химическими способами до зеркального блеска. Поверхность ее обязательно должна быть совершенно гладкой на атомном уровне.

Видео-этапы производства микросхемы:

При формировании слоев, из-за того что рисунки наносимые на поверхность пластины настолько малы, поэтому материал формирующий впоследствии рисунок осаждают сразу на всю поверхность, а потом удаляют ненужное, используя процесс фотолитографии.

Фотолитография является одним из главных этапов производства микросхемы и чем то напоминает производство фотографии. На поверхность ранее нанесенного материала так же ровным слоем наносится специальный светочувствительный материал (фоторезист), затем он высушивается. Далее через специальный фотошаблон на поверхность слоя проецируется необходимый рисунок. Под воздействием ультрафиолета отдельные участки фоторезиста меняют свои свойства - крепчает, поэтому необлученные участки впоследствии удаляются. Этот способ нанесения рисунка является настолько эффективным по своей точности, что будет еще использоваться долгое время.

Далее следует процесс электрического соединения между транзисторами в микросхемах, объединяющие транзисторы в отдельные ячейки, а ячейки в отдельные блоки. Межсоединения создаются в несколько металлических слоев законченных микросхем. В качестве материалов в производстве слоев используется в основном медь, а для особо производительных схем используется золото. Количестве слоев электрических соединений зависит от мощности и производительности создаваемой микросхемы - чем она мощнее том больше содержит в себе этих слоев.

Таким образом получается сложная трехмерная структура электронной микросхемы толщиной несколько микрон. Затем электронную схему покрывают слоем диэлектрического материала толщиной несколько десятков микрон. В нем лишь открывают лишь контактные площадки, через которые впоследствии подаются в микросхему питание и электрические сигналы из вне. Снизу крепится кремневая пластина толщиной в сотни микрон.

По окончании процесса производства кристаллы на пластине тестируются каждая в отдельности. Потом каждый чип упаковывается в свой корпус, при помощи которого и появляется возможность подключения его к другим приборам. Несомненно тип упаковки зависит от предназначения микросхемы и способов ее использования. Упакованные чипы проходят основной этап стресс теста: воздействие температур, влажности, электричества. И уже по результатам теста отбраковываются, сортируются и классифицируются по спецификациям.


Важным в процессе производства деталей микроуровня, какими являются микросхемы - это идеальная чистота помещений для производства. Поэтому для обеспечения идеальной чистоты используются специально-оборудованные помещения, которые в первую очередь являются полностью герметичными, оснащены микрофильтрами для очистки воздуха, персонал, работающий в этих помещениях, имеет спецодежду, препятствующую проникновения туда каких либо микрочастиц. Кроме того в таких помещениях обеспечивается определенная влажность, температура воздуха, строятся они на фундаментах с защитой от вибраций.

Видео - экскурсия на завод где производят микросхемы:

Назад Вперед -



У вас есть Бизнес Идея? На нашем сайте Вы можете рассчитать её Рентабельность в режиме Онлайн!

В этой статье я расскажу о начале своей работы над совершенно безбашенной задачей: конечная цель в том, чтобы получить рабочую микросхему по «толстым» нормам (5-10µm) дома. Это не первое апреля и я не сумасшедший, это просто моё хобби.

Возникла эта идея не сейчас и неспроста. С детства я хотел быть газосварщиком, и… делать микросхемы. И если по первому пункту мне достаточно быстро удалось сделать дома сварочный аппарат (бутан-водород/кислород), то с микросхемами все никак не складывалось. Долгое время все мысли останавливались на том, что я не знал где можно взять собственно полупроводники необходимой чистоты (и мысли останавливались на ковырянии мощных транзисторов), пока на форуме не подсказали что в принципе, можно и купить пластины. Затем я даже наткнулся на человека, который 20 лет работал над похожей задачей , и в итоге сдался. Пожалуй, тут можно было опустить руки и перестать тратить время на глупые мечты. Но, однажды я увидел ролик чудовищно гениальной женщины – Jeri Ellsworth – она смогла сделать отдельные полевые транзисторы на основе заводских пластин – и тогда я решил, что настало время поплотнее заняться этой проблемой.

В этой статьях я расскажу о своём текущем прогрессе, но не ждите быстрого продолжения – весь процесс может легко занять пару лет.

Шаг 0: Были скуплены все книги по теме из местных Интернет-магазинов (как раз на 1 полку), повыкачаны из торрентов все доступные сборники оцифрованных книг. Теоретической информации там конечно много, но с практической стороны – многое покрыто мраком. Даже старые техпроцессы в деталях не описаны нигде, и потому придется много пробовать. Также перерыл интернет в поисках местных поставщиков всех потенциально необходимых материалов (собственно кремний, фоторезисты, химия, газы). Пока найти не удалось местную компанию которая может изготавливать асферическую оптику из оптического/кварцевого стекла – но это в ближайший год не станет препятствием.Шаг 1: КремнийМонокристаллический кремний – сердце домашней микросхемы. Вырастить дома – хоть и реально (по моим безумным меркам), но чертовски дорого. Потому я стал гуглить местных производителей кремния – кто-то говорил что они свернули производство и занимаются только сдачей помещений в аренду, кто-то не отвечал, пока наконец я не дошел до компании Терасил – там я наконец смог купить все что мне нужно. Самое главное – разрезанные и отполированные пластины монокристаллического кремния легированного в P и N тип (справа на фото).

Далее – куча разбитых пластин для тренировки. Потренировался раскалывать пластину на кусочки (оказалось, что они все с ориентацией кристаллической решетки 111 – раскалываются треугольниками, а не квадратами). Т.к они еще не отполированы – я попробовал и отполировать – провал полный: паста гои кремний не берет, нужна алмазная паста. Если со временем получится полировать, можно будет пробовать делать солнечные батареи (а из монокристаллического кремния они получаются довольно эффективные).

И наконец – кусочки монокристаллического кремния. Те что толстые слева – погрязнее (но достаточно чистые для микросхем), 2 тоненьких справа – сверхчистые, намного выше требований чистоты кремния для обычных микросхем. Само собой, разрезать их дома не выйдет (если конечно не завалялась алмазная дисковая пила) – только разбить. Нужны для того чтобы пробовать осаждать пленки аморфного кремния химическим (PE CVD SiH4) или физическим (испарение в вакууме) путем.


Какие дальше стоят задачи
  • В первую очередь – строительство печи на 1200 градусов для маленького образца. Промышленные печи под такую температуру в квартире не поставить, и стоят огого. Потому буду пробовать нагревать образец галогеновыми лампами с рефлекторами.
  • Переезд в отдельную квартиру: меня сразу выгонят увидев бородатого мужика в противогазе и резиновых перчатках с кучей подозрительных баночек.
  • Далее – необходимая химия и фоторезисты – и можно пробовать делать 1 транзистор по процессу Jeri.
Что я ищу и пока не нахожу В первую очередь – это информация. Хотелось бы иметь контакты людей, которые работают на производстве – ведь я соберу все грабли, которые технологи собирали последние 50 лет Затем – информация о техпроцессах и главное – библиотеки под толстые техпроцессы – пока мне их не удалось достать, а из отдельных транзисторов особо не по-проектируешь. Ну и наконец, хочу найти разработчика ASIC, который показал бы мне основные шаги разработки (кое-что я думаю что знаю, но много пробелов и я могу ошибаться сильно). По всем этим вопросам приглашаю на

Микросхема

Современные интегральные микросхемы, предназначенные для поверхностного монтажа.

Советские и зарубежные цифровые микросхемы.

Интегра́льная (engl. Integrated circuit, IC, microcircuit, microchip, silicon chip, or chip), (микро )схе́ма (ИС, ИМС, м/сх ), чип , микрочи́п (англ. chip - щепка, обломок, фишка) - микроэлектронное устройство - электронная схема произвольной сложности, изготовленная на полупроводниковом кристалле (или плёнке) и помещённая в неразборный корпус. Часто под интегральной схемой (ИС) понимают собственно кристалл или плёнку с электронной схемой, а под микросхемой (МС) - ИС, заключённую в корпус. В то же время выражение «чип компоненты» означает «компоненты для поверхностного монтажа» в отличие от компонентов для традиционной пайки в отверстия на плате. Поэтому правильнее говорить «чип микросхема», имея в виду микросхему для поверхностного монтажа. В настоящий момент ( год) большая часть микросхем изготавливается в корпусах для поверхностного монтажа.

История

Изобретение микросхем началось с изучения свойств тонких оксидных плёнок, проявляющихся в эффекте плохой электро-проводимости при небольших электрических напряжениях. Проблема заключалась в том, что в месте соприкосновения двух металлов не происходило электрического контакта или он имел полярные свойства. Глубокие изучения этого феномена привели к открытию диодов а позже транзисторов и интегральных микросхем.

Уровни проектирования
  • Физический - методы реализации одного транзистора (или небольшой группы) в виде легированных зон на кристалле.
  • Электрический - принципиальная электрическая схема (транзисторы , конденсаторы , резисторы и т. п.).
  • Логический - логическая схема (логические инверторы , элементы ИЛИ-НЕ, И-НЕ и т. п.).
  • Схемо- и системотехнический уровень - схемо- и системотехническая схемы (триггеры , компараторы , шифраторы , дешифраторы , АЛУ и т. п.).
  • Топологический - топологические фотошаблоны для производства.
  • Программный уровень (для микроконтроллеров и микропроцессоров) - команды ассемблера для программиста .

В настоящее время большая часть интегральных схем разрабатывается при помощи САПР , которые позволяют автоматизировать и значительно ускорить процесс получения топологических фотошаблонов.

Классификация Степень интеграции Назначение

Интегральная микросхема может обладать законченным, сколь угодно сложным, функционалом - вплоть до целого микрокомпьютера (однокристальный микрокомпьютер).

Аналоговые схемы
  • Генераторы сигналов
  • Аналоговые умножители
  • Аналоговые аттенюаторы и регулируемые усилители
  • Стабилизаторы источников питания
  • Микросхемы управления импульсных блоков питания
  • Преобразователи сигналов
  • Схемы синхронизации
  • Различные датчики (температуры и др.)
Цифровые схемы
  • Логические элементы
  • Буферные преобразователи
  • Модули памяти
  • (Микро)процессоры (в том числе ЦПУ в компьютере)
  • Однокристальные микрокомпьютеры
  • ПЛИС - программируемые логические интегральные схемы

Цифровые интегральные микросхемы имеют ряд преимуществ по сравнению с аналоговыми:

  • Уменьшенное энергопотребление связано с применением в цифровой электронике импульсных электрических сигналов. При получении и преобразовании таких сигналов активные элементы электронных устройств (транзисторов) работают в «ключевом» режиме, то есть транзистор либо «открыт» - что соответствует сигналу высокого уровня (1), либо «закрыт» - (0), в первом случае на транзисторе нет падения напряжения, во втором - через него не идёт ток . В обоих случаях энергопотребление близко к 0, в отличие от аналоговых устройств, в которых большую часть времени транзисторы находятся в промежуточном (резистивном) состоянии.
  • Высокая помехоустойчивость цифровых устройств связана с большим отличием сигналов высокого (например 2,5 - 5 В) и низкого (0 - 0,5 В) уровня. Ошибка возможна при таких помехах, когда высокий уровень воспринимается как низкий и наоборот, что мало вероятно. Кроме того, в цифровых устройствах возможно применение специальных кодов , позволяющих исправлять ошибки.
  • Большое отличие сигналов высокого и низкого уровня и достаточно широкий интервал их допустимых изменений делает цифровую технику нечувствительной к неизбежному в интегральной технологии разбросу параметров элементов, избавляет от необходимости подбора и настройки цифровых устройств.

Как подготовить к производству плату, сделанную в Eagle

Подготовка к производству состоит из 2 этапов: проверка технологических ограничений (DRC) и генерация файлов в формате Gerber

DRC

У каждого производителя печатных плат существуют технологические ограничения на минимальную ширину дорожек, зазоры между дорожками, диаметры отверстий, и т.п. Если плата не соответствует этим ограничениям, производитель отказывается принимать плату к производству.

При создании файла печатной платы устанавливаются технологические ограничения по умолчанию из файла default.dru из каталога dru. Как правило, эти ограничения не соответствуют ограничениям реальных производителей, поэтому их нужно изменить. Можно настроить ограничения непосредственно перед генерацией файлов Gerber, но лучше сделать это сразу после создания файла платы. Для настройки ограничений нажимаем кнопку DRC

Зазоры

Переходим на вкладку Clearance, где задаются зазоры между проводниками. Видим 2 секции: Different signals и Same signals . Different signals - определяет зазоры между элементами, принадлежащим разным сигналам. Same signals - определяет зазоры между элементами, принадлежащим одному и тому же сигналу. При перемещении между полями ввода картинка меняется, показывая смысл вводимого значения. Размеры можно задавать в миллиметрах (mm) или в тысячных долях дюйма (mil, 0.0254 мм).

Расстояния

На вкладке Distance определяются минимальные расстояния между медью и краем платы (Copper/Dimension ) и между краями отверстий (Drill/Hole )

Минимальные размеры

На вкладке Sizes для двухсторонних плат имеют смысл 2 параметра: Minimum Width - минимальная ширина проводника и Minimum Drill - минимальный диаметр отверстия.

Пояски

На вкладке Restring задаются размеры поясков вокруг переходных отверстий и контактных полщадок выводных компонентов. Ширина пояска задается в процентах от диаметра отверстия, при этом можно задать ограничение на минимальную и максимальную ширину. Для двухсторонних плат имеют смысл параметры Pads/Top , Pads/Bottom (контактные площадки на верхнем и нижнем слое) и Vias/Outer (переходные отверстия).

Маски

На вкладке Masks задаются зазоры от края контактной площадки до паяльной маски (Stop ) и паяльной пасты (Cream ). Зазоры задаются в процентах меньшего размера площадки, при этом можно задать ограничение на минимальный и максимальный зазор. Если производитель плат не указывает специальных требований, можно оставить на этой вкладке значения по умолчанию.

Параметр Limit определяет минимальный диаметр переходного отверстия, которое не будет закрыто маской. Например если узазать 0.6mm то переходные отверстия диаметром 0.6мм и менее будут закрыты маской.

Запуск проверки

После установки ограничений, переходим на вкладку File . Можно сохранить установки в файл, нажав кнопку Save As... . В дальнейшем для других плат можно быстро загрузить установки (Load... ).

Нажатием кнопки Apply установленные технологические ограничения применяются к файлу печатной платы. Это влияет на слои tStop, bStop, tCream, bCream . Также для переходных отверстий и контактных площадок выводных компонентов будет изменен размер, чтобы удовлетворить ограничениям, заданным на вкладке Restring .

Нажатие кнопки Check запускает процесс контроля ограничений. Если плата удовлетворяет всем ограничениям, в строке статуса программы появится сообщение No errors . Если плата не проходит контроль, появляется окно DRC Errors

В окне содержится список ошибок DRC, с указанием типа ошибки и слоя. При двойном щелчке на строке область платы с ошибкой будет показана в центре главного окна. Типы ошибок:

слишком маленький зазор

слишком маленький диаметр отверстия

пересечение дорожек с разными сигналами

фольга слишком близко к краю платы

После исправления ошибок нужно снова запустить контроль, и повторять эту процедуру до тех пор, пока не будут устранены все ошибки. Теперь плата готова к выводу в файлы Gerber.

Генерация файлов в формате Gerber

Из меню File выбрать CAM Processor . Появится окно CAM Processor .

Совокупность параметров генерации файлов называется заданием. Задание состоит из нескольких секций. Секция определяет параметры вывода одного файла. По умолчанию в поставке Eagle имеется задание gerb274x.cam, но оно иммет 2 недостатка. Во-первых, нижние слои выводятся в зеркальном отображении, во-вторых не выводится файл сверловки (для генерации сверловки нужно будет выполнить еще одно задание). Поэтому рассмотрим создание задания "с нуля".

Нам нужно создать 7 файлов: границы платы, медь сверху и снизу, шелкография сверху, паяльная маска сверху и снизу и сверловка.

Начнем с границ платы. В поле Section вводим имя секции. Проверяем, что в группе Style установлены только pos. Coord , Optimize и Fill pads . Из списка Device выбираем GERBER_RS274X . В поле ввода File вводится имя выходного файла. Удобно поместить файлы в отдельный каталог, поэтому в этом поле введем %P/gerber/%N.Edge.grb . Это означает каталог, в котором расположен исходный файл платы, подкаталог gerber , исходное имя файла платы (без расширения .brd ) с добавленным в конце .Edge.grb . Обратите внимание, что подкаталоги не создаются автоматически, поэтому перед генерацией файлов нужно будет создать подкалог gerber в каталоге проекта. В полях Offset вводим 0. В списке слоев выбираем только слой Dimension . На этом создание секции закончено.

Для создания новой секции нажимаем Add . В окне появляется новая вкладка. Устанавливаем параметры секции как описано выше, повторяем процесс для всех секций. Разумеется, для каждой секции должен быть выбран свой набор слоев:

    медь сверху - Top, Pads, Vias

    медь снизу - Bottom, Pads, Vias

    шелкография сверху - tPlace, tDocu, tNames

    маска сверху - tStop

    маска снизу - bStop

    сверловка - Drill, Holes

и имя файла, например:

    медь сверху - %P/gerber/%N.TopCopper.grb

    медь снизу - %P/gerber/%N.BottomCopper.grb

    шелкография сверху - %P/gerber/%N.TopSilk.grb

    маска сверху - %P/gerber/%N.TopMask.grb

    маска снизу - %P/gerber/%N.BottomMask.grb

    сверловка - %P/gerber/%N.Drill.xln

Для файла сверловки устройство вывода (Device ) должно быть EXCELLON , а не GERBER_RS274X

Следует иметь в виду, что некоторые производители плат принимают только файлы с именами в формате 8.3, то есть не более 8 символов в имени файла, не более 3 символов в расширении. Это следует учитывать при задании имен файлов.

Получаем следующее:

Затем открываем файл платы (File => Open => Board ). Убедитесь, что файл платы был сохранен! Нажимаем Process Job - и получаем набор файлов, которые можно отправить производителю плат. Обратите внимание - кроме собственно Gerber файлов будут также сгенерированы информационные файлы (с раширениями .gpi или .dri ) - их отправлять не нужно.

Можно также вывести файлы только из отдельных секций, выбирая нужную вкладку и нажимая Process Section .

Перед отправкой файлов производителю плат полезно просмотреть то, что получилось, с помощью программы просмотра Gerber. Например, ViewMate для Windows или для Linux. Еще бывает полезно сохранить плату в PDF (в редакторе платы File->Print->кнопка PDF) и закинуть этот файл производителю вместе с герберами. А то они ведь тоже люди, это поможет им не ошибиться.

Технологические операции, которые необходимо выполнять при работе с фоторезистом СПФ-ВЩ

1. Подготовка поверхности.
а) зачистка шлифованным порошком («Маршалит»), размер М-40, промывка водой
б) декапирование 10% раствором серной кислоты (10-20 сек), промывка водой
в) сушка при T=80-90 гр.Ц.
г) проверка – если в течение 30 сек. на поверхности остается сплошная пленка – подложка готова к работе,
если нет – повторить все сначала.

2. Нанесение фоторезиста.
Нанесение фоторезиста производится на ламинаторе с Tвалов =80 гр.Ц. (см. инструкцию работы на ламинаторе).
С этой целью горячая подложка (после сушильного шкафа) одновременно с плёнкой из рулона СПФ направляется в зазор между валов, причем полиэтиленовая (матовая) плёнка должна быть направлена к медной стороне поверхности. После прижима пленки к подложке начинается движение валов, при этом полиэтиленовая пленка снимается, а слой фоторезиста накатывается на подложку. Лавсановая защитная пленка остается сверху. После этого пленка СПФ обрезается со всех сторон по размеру подложки и выдерживается при комнатной температуре в течение 30 минут. Допускается выдержка в течение от 30 минут до 2 суток в темноте при комнатной температуре.

3. Экспонирование.

Экспонирование через фотошаблон производят на установках СКЦИ или И-1 с УФ-лампами типа ДРКТ-3000 или ЛУФ-30 с вакуумным разрежением 0,7-0,9 кг/см2. Время экспонирования (для получения рисунка) регламентируется самой установкой и подбирается экспериментально. Шаблон должен быть хорошо прижат к подложке! После экспонирования заготовка выдерживается в течение 30 минут (допускается до 2 часов).

4. Проявление.
После экспонирования проводится процесс проявления рисунка. С этой целью с поверхности подложки снимается верхний защитный слой – лавсановая пленка. После этого заготовка опускается в раствор кальцинированной соды (2%) при T=35 гр.Ц. Через 10 секунд начинают процесс снятия незасвеченной части фоторезиста с помощью поролонового тампона. Время проявления подбирают опытным путем.
Затем подложку вынимают из проявителя, промывают водой, декапируют (10 сек.) 10%-ным раствором H2SO4 (серная кислота), снова водой и сушат в шкафу при T=60 гр.Ц.
Полученный рисунок не должен отслаиваться.

5. Полученный рисунок.
Полученный рисунок (слой фоторезиста) устойчив для травления в:
- хлорном железе
- соляной кислоте
- сернокислой меди
- царской водке (после дополнительного задубливания)
и др. растворах

6. Срок годности фоторезиста СПФ-ВЩ.
Срок годности СПФ-ВЩ 12 месяцев. Хранение осуществляется в темном месте при температуре от 5 до 25 гр. Ц. в вертикальном положении, завернутым в черную бумагу.