സാറ്റലൈറ്റ് ട്യൂണറുകളുടെയും മറ്റും ഫ്ലാഷ് മെമ്മറി ഫ്ലാഷിംഗിനായി ഞങ്ങൾ ഒരു JTAG പ്രോഗ്രാമർ ഉണ്ടാക്കുന്നു. സാർവത്രിക പ്രോഗ്രാമർ TNM5000 Nand ഫ്ലാഷ് പ്രോഗ്രാമർ മെച്ചപ്പെടുത്തിയ മാർഗങ്ങളിൽ നിന്ന്


ബാനൽ I2c, മൈക്രോ വയർ ചിപ്പുകൾ മുതൽ മൾട്ടി-ലെഗഡ് നന്ദ് ഫ്ലാഷ് വരെയുള്ള വളരെ വിപുലമായ മൈക്രോ സർക്യൂട്ടുകൾ പ്രോഗ്രാമിംഗ് ചെയ്യുന്നതിനുള്ള ഒരു സാർവത്രിക ഉപകരണമാണിത്.
നിരവധി വർഷങ്ങളായി അമേച്വർ റേഡിയോയിലും ഇലക്ട്രോണിക്സിനുള്ള അഭിനിവേശത്തിലും, ഞാൻ അത്തരം ഭവനങ്ങളിൽ നിർമ്മിച്ച ഉപകരണങ്ങളുടെ ഒരു വലിയ സംഖ്യ ശേഖരിച്ചു, നിർദ്ദിഷ്ട ജോലികൾക്കായി ഒത്തുചേർന്നതും പ്രക്രിയയുടെ നിമിത്തവും. മൈക്രോ സർക്യൂട്ടുകളുടെ വർദ്ധിച്ചുവരുന്ന ശ്രേണിയുടെ ആവിർഭാവവും അവയുടെ ശേഷിയിൽ വർദ്ധനവും ഉണ്ടായതോടെ, എനിക്ക് അറിയപ്പെടുന്ന മിനിപ്രോ TL-866 എന്ന ഫാക്ടറി ഉപകരണം സ്വന്തമാക്കേണ്ടി വന്നു.
ഉപകരണം വളരെ മനോഹരമാണ്, ഇത് ഇപ്പോൾ വർഷങ്ങളായി വിശ്വസ്തതയോടെ സേവിക്കുന്നു, എന്നാൽ കോൺടാക്റ്റ് നിയന്ത്രണത്തിൻ്റെ അഭാവം, ഹാർഡ്‌വെയർ പരിമിതികൾ, അതിൻ്റെ ഫലമായി, ആധുനിക ചിപ്പുകളുമായി പ്രവർത്തിക്കാനുള്ള കഴിവില്ലായ്മ, കൂടുതൽ ചെലവേറിയതിലേക്ക് ശ്രദ്ധ തിരിക്കാൻ എന്നെ നിർബന്ധിച്ചു. പ്രവർത്തന ഉപകരണങ്ങൾ. അത്തരം ഉപകരണങ്ങളുടെ വിപണിയെക്കുറിച്ചുള്ള ഒരു ദ്രുത അവലോകനം, രണ്ട് ഉപകരണങ്ങൾ എൻ്റെ ആവശ്യങ്ങൾ ഏറ്റവും വ്യക്തമായി നിറവേറ്റുന്നു എന്ന തിരിച്ചറിവിലേക്ക് നയിച്ചു: റഷ്യൻ ചിപ്പ്പ്രോഗ്-48(1), ഇറാനിയൻ TNM5000. രണ്ടാമത്തേത് താരതമ്യപ്പെടുത്താവുന്ന പ്രവർത്തനക്ഷമതയോടെ 2 മടങ്ങ് വിലകുറഞ്ഞതായി മാറി, കുറച്ച് അനുനയത്തിന് ശേഷം, അവളുടെ ജന്മദിനത്തിന് പ്രിയപ്പെട്ട ഒരാൾക്ക് ഒരു സമ്മാനം തിരഞ്ഞെടുക്കുന്ന പ്രക്രിയയിൽ ജാഗ്രത നഷ്ടപ്പെട്ട എൻ്റെ തവളയ്ക്ക് യുക്തിസഹമായി വാദിക്കാൻ കഴിഞ്ഞില്ല. .
Aliexpress-ലെ ഔദ്യോഗിക വിൽപ്പനക്കാരൻ്റെ പേജിൽ ഒരു ഓർഡർ നൽകി, ഡെലിവറി സിംഗപ്പൂർ പോസ്റ്റ് തിരഞ്ഞെടുത്തു, പണമടച്ചു. വിൽപ്പനക്കാരനുമായുള്ള കൂടുതൽ കത്തിടപാടുകളുടെ പ്രക്രിയയിൽ, അവൻ വളരെ സൗഹാർദ്ദപരമാണ്, അവൻ കൊറിയർ SPSR വഴി അയയ്ക്കുന്നതാണ് നല്ലത്. ഇത് വേഗതയേറിയതാണ്, എന്നാൽ കസ്റ്റംസിന് അധിക പാസ്‌പോർട്ട് ഡാറ്റ ആവശ്യമാണ്, ഇത് ചില ആശങ്കകൾക്ക് കാരണമായി, കാരണം... റഷ്യൻ ഫെഡറേഷൻ്റെ ഒരു പൗരനല്ലാത്തയാളാണ് പാഴ്സൽ കണ്ടുമുട്ടിയത്. സാധാരണ റഷ്യൻ വായുവിൽ ഞങ്ങൾ സമ്മതിച്ചു, വിൽപ്പനക്കാരൻ SSOP34 അഡാപ്റ്ററിനൊപ്പം ഡെലിവറിയിലെ വ്യത്യാസത്തിന് നഷ്ടപരിഹാരം നൽകി.
എല്ലാ ആശങ്കകളും ഉണ്ടായിരുന്നിട്ടും, ഡെലിവറി വേഗത്തിലും തടസ്സരഹിതവുമായിരുന്നു.
അതിനാൽ, ചൈനയിൽ നിന്നുള്ള ഇറാനിയൻ പ്രോഗ്രാമറെ റഷ്യയിലേക്ക് അയച്ച് ബെലാറസിലേക്ക് മാറ്റി, മോസ്കോയിലെ പ്രത്യേക പരിശീലനം ലഭിച്ച ഒരു താമസക്കാരൻ, താൽക്കാലിക രജിസ്ട്രേഷനുള്ള ബെലാറസ് റിപ്പബ്ലിക്കിലെ പൗരനാണ്. റിപ്പബ്ലിക് ഓഫ് ബെലാറസിൻ്റെ കസ്റ്റംസ് അതിർത്തി കടക്കുന്ന ചരക്കുകൾക്ക് 20 യൂറോയിൽ കൂടുതൽ മൂല്യമുള്ള തപാൽ അറ്റാച്ച്മെൻ്റുകളിൽ തീരുവ അടയ്ക്കുന്നത് ഒഴിവാക്കാൻ അത്തരമൊരു സങ്കീർണ്ണ പദ്ധതി സഹായിച്ചു.


ഫോട്ടോ പ്രോഗ്രാമർ തന്നെ കാണിക്കുന്നു, ഒരു കമ്പ്യൂട്ടറിലേക്ക് കണക്റ്റുചെയ്യുന്നതിനുള്ള ഒരു യുഎസ്ബി കേബിൾ, ചെറിയ ചിപ്പുകൾ കൈമാറുന്നതിനുള്ള ഒരു വാക്വം സക്ഷൻ കപ്പ്, ഇൻ-സർക്യൂട്ട് പ്രോഗ്രാമിംഗിനുള്ള ഒരു കേബിൾ, ഒരു ബോണസ് NAND ഫ്ലാഷ് ചിപ്പ് K9GAG08U0E-SCB0, ഒരു SSOP34 അഡാപ്റ്റർ, ഇത് തികച്ചും അനുയോജ്യമാണ്. ഉയർന്ന നിലവാരമുള്ളത്. സോഫ്റ്റ്‌വെയറും നിർദ്ദേശങ്ങളുമുള്ള സിഡി ഫോട്ടോയിൽ ഉൾപ്പെടുത്തിയിട്ടില്ല; ഞാൻ അത് ബോക്സിൽ മറന്നു.


ബോക്സ് ഞാൻ സങ്കൽപ്പിച്ചതിലും അപ്രതീക്ഷിതമായി വലുതായിരുന്നു, ഉള്ളടക്കം വിവരണവുമായി പൊരുത്തപ്പെടുന്നു.
താരതമ്യത്തിനായി, ജനപ്രിയ TL-866 ഉള്ള ഒരു ഫോട്ടോ ഇതാ.








വഴിയിൽ, അഡാപ്റ്ററുകൾക്കുള്ള വിൽപ്പനക്കാരൻ്റെ പ്രൈസ് ടാഗ് കേവലം അതിരുകടന്നതാണ്, എനിക്ക് ആവശ്യമുള്ള TSOP48-DIP48 അഡാപ്റ്റർ മറ്റൊരു വിൽപ്പനക്കാരനിൽ നിന്ന് പലമടങ്ങ് വിലകുറഞ്ഞതും മികച്ച നിലവാരമുള്ളതും പാനൽ ജാപ്പനീസ് YAMAICHI-യിൽ നിന്നുള്ളതാണെന്ന് തോന്നുന്നു.




സെമി-ബേസ്‌മെൻ്റ് അസംബ്ലിയുടെ ബർറോ ഫ്ലാഷോ മറ്റ് ആട്രിബ്യൂട്ടുകളോ ഇല്ലാതെ, ഉയർന്ന നിലവാരമുള്ള ഇരുണ്ട നിറമുള്ള പ്ലാസ്റ്റിക് ഉപയോഗിച്ചാണ് പ്രോഗ്രാമർ ബോഡി നിർമ്മിച്ചിരിക്കുന്നത്. കേസിൻ്റെ ഒരു വശത്ത്, 10-പിൻ ഇൻ-സർക്യൂട്ട് പ്രോഗ്രാമിംഗ് കണക്ടർ ഉണ്ട്, ഇത് ഒരു സാധാരണ IDC10 ആണ്. മുകളിൽ, ARIES-ൽ നിന്നുള്ള 48 പിൻ DIP സോക്കറ്റ്. മറ്റ് സോക്കറ്റുകളിൽ നിന്ന് വ്യത്യസ്തമായി, ഇത് ശക്തിയോടെ തുറന്ന അവസ്ഥയിലേക്ക് കൊണ്ടുവരുന്നു, കൂടാതെ "ഒരു ചിപ്പ് തിരുകുകയും ക്ലാമ്പ് ചെയ്യുകയും ജോലിക്ക് തയ്യാറാകുകയും ചെയ്യുന്നു" എന്ന അവസ്ഥയിലേക്ക് അത് സ്വയം സംസ്ഥാനത്തിലേക്ക് കൊണ്ടുവരുന്നത് കൗതുകകരമാണ്, ഇതാണ് അതിൻ്റെ സ്ഥിരസ്ഥിതി. കേസിൻ്റെ മുകളിൽ ഒരു പ്രവർത്തന സൂചകവും പ്രോഗ്രാമർ മോഡലുള്ള ഒരു സ്റ്റിക്കറും ഉണ്ട്.


മറുവശത്ത്, ഒരു പിസിയിലേക്ക് കണക്റ്റുചെയ്യുന്നതിനുള്ള ഒരു സാധാരണ യുഎസ്ബി-ബിയും അധിക പവറിനായി ഒരു കണക്ടറും ഉണ്ട്, എനിക്ക് അജ്ഞാതമായ ഒരു ഡിസൈൻ, ഒരു മുന്നറിയിപ്പ് സ്റ്റിക്കർ കൊണ്ട് ശ്രദ്ധാപൂർവ്വം മൂടിയിരിക്കുന്നു.


കേസിൻ്റെ അടിയിൽ വിവരങ്ങളുള്ള ഒരു സ്റ്റിക്കർ ഉണ്ട്, സ്ക്രൂയിലും റബ്ബർ പാദങ്ങളിലും ഒരു വാറൻ്റി സ്റ്റിക്കർ.


പ്രോഗ്രാമർക്ക് ഒരു വർഷത്തെ വാറൻ്റി ഉണ്ട്, അത് എനിക്ക് ഉപയോഗിക്കാൻ സാധ്യതയില്ല, പക്ഷേ ഞാൻ അത് ഛേദിച്ചില്ലെങ്കിൽ; ഞങ്ങൾ മറ്റൊരു, കൂടുതൽ ധൈര്യശാലിയായ ഉടമയുടെ ഫോട്ടോ ഉപയോഗിക്കും.






റഷ്യൻ ഭാഷയിലുള്ള പ്രോഗ്രാമറുടെ വിവരണം ഇതാ, ഞാൻ കുറച്ച് കോപ്പിയടിക്കും:

അധിക വിവരം

ഫ്ലാഷ് മെമ്മറി (പാരലൽ / നന്ദ് / സീരിയൽ), EPROM, EEPROM, സീരിയൽ EEPROM, മൈക്രോകൺട്രോളറുകൾ, അസ്ഥിരമല്ലാത്ത റാം, FRAM, CPLD, PLD, FPGA).
ഉയർന്ന നിലവാരമുള്ള 48pin ZIF പാനലും 10pin ISP/JTAG കണക്ടറും.
USB 2.0 ഹൈ സ്പീഡ്
50 സെക്കൻഡിനുള്ളിൽ 1 Gbit Nand Flash പ്രോഗ്രാമിംഗ്
BAD ബ്ലോക്കുകളുടെ സാന്നിധ്യത്തിനായി NAND മൈക്രോ സർക്യൂട്ടുകളുടെ വിശകലനം, എഴുതുമ്പോഴും വായിക്കുമ്പോഴും അവ ഒഴിവാക്കാം.
ഡിവൈസ് ഐഡിയുള്ള എല്ലാ ഫ്ലാഷ് മെമ്മറി/മൈക്രോകൺട്രോളറുകളും സ്വയമേവ കണ്ടെത്തൽ.
WIndows XP/VISTA/7/8 (32.64 ബിറ്റ്) എന്നതിനായുള്ള ഉപയോക്തൃ-സൗഹൃദ സോഫ്റ്റ്‌വെയർ.
വിതരണം ചെയ്ത മൈക്രോ സർക്യൂട്ടിൻ്റെ (പിൻ ടെസ്റ്റ്) കോൺടാക്റ്റുകൾ പരിശോധിക്കുന്നതിനുള്ള പ്രവർത്തനം!
നിങ്ങൾ ബ്ലോക്കിൽ മോശമായി ഐസി ചേർത്തിട്ടുണ്ടെങ്കിൽ, അല്ലെങ്കിൽ അതിന് വൃത്തികെട്ട ടെർമിനലുകൾ ഉണ്ടെങ്കിൽ, പ്രോഗ്രാമർ സോഫ്റ്റ്വെയർ ഇത് റിപ്പോർട്ട് ചെയ്യുകയും ഈ കോൺടാക്റ്റിൻ്റെ നമ്പർ സൂചിപ്പിക്കുകയും ചെയ്യും!
പ്രധാന യൂണിറ്റിൻ്റെയും ബജറ്റ് അഡാപ്റ്ററുകളുടെയും കുറഞ്ഞ വില.
എല്ലാ ഫ്ലാഷ് മെമ്മറിക്കും ഒരു 32/40/48 TSOP അഡാപ്റ്റർ.
എല്ലാ 56 പിൻ ഫ്ലാഷ് മെമ്മറിക്കും ഒരു TSOP56 അഡാപ്റ്റർ.
പ്രോഗ്രാമറുടെ കഴിവുകൾ ഗണ്യമായി വികസിപ്പിക്കുന്ന പ്രത്യേക സവിശേഷതകൾ; ഒന്നിൽ ഞങ്ങൾക്ക് നിരവധി പ്രോഗ്രാമർമാരെ ലഭിക്കും:
Quartus-II സോഫ്റ്റ്‌വെയറിനായുള്ള Altera USB-Blaster-ൻ്റെ പൂർണ്ണമായ അനുകരണം.
പൂർണ്ണ സീരിയൽ പോർട്ട് എമുലേഷൻ.
വെഹിക്കിൾ ഇസിയു, ഇമ്മോബ്ലൈസർ & ഡാഷ്‌ബോർഡ് മൈക്രോകൺട്രോളർ പിന്തുണ.
TopJTAG ഫ്ലാഷ് പ്രോഗ്രാമർ, TopJTAG അന്വേഷണം.
TNM 5000 പ്രോഗ്രാമറുടെ ഹൃദയം മൈക്രോ സർക്യൂട്ടുകളുടെ ഫാസ്റ്റ് പ്രോഗ്രാമിംഗിനായി 96MHz ക്ലോക്കോടുകൂടിയ രൂപകല്പന ചെയ്ത CPU കോർ ഉള്ള 500.0000 ഗേറ്റ് FPGA ആണ്.
EN25F16, EN25F80, EN25Q16, EN25Q32, EN25Q64 ചിപ്പുകളുടെ OTP ഏരിയയിൽ പ്രവർത്തിക്കുന്നു... ചിപ്പുകളുടെ OTP ഏരിയ പ്രോഗ്രാമിംഗ്... റീഡിംഗ്... സേവിംഗ്... എഡിറ്റിംഗ്.
56 പിൻ വരെ സമാന്തര ഫ്ലാഷ്:
ഇത് വിവിധ തരത്തിലുള്ള പാക്കേജുകളെ (PLCC, TSOP1, TSOP2, VSOP &...) പിന്തുണയ്ക്കുന്നു. എല്ലാ ഫ്ലാഷുകളും സോഫ്‌റ്റ്‌വെയർ വഴി സ്വയമേവ കണ്ടെത്താനാകും. എല്ലാ 32-48 പിൻ TSOP ഫ്ലാഷിനും ഒരു അഡാപ്റ്റർ ഉപയോഗിക്കുമ്പോൾ, ഉപയോക്താവിന് 2000-ലധികം ഫ്ലാഷ് ചിപ്പുകൾക്ക് 1 അഡാപ്റ്റർ മാത്രമേ ആവശ്യമുള്ളൂ.
NAND ഫ്ലാഷ് ഓർമ്മകൾ:
പ്രോഗ്രാമർക്ക് NAND Flash-നായി പ്രത്യേകം രൂപകൽപ്പന ചെയ്ത അധിക Nand+ സോഫ്‌റ്റ്‌വെയർ ഉണ്ട്. MLC NAND-ലെ മോശം ഡാറ്റ ശരിയാക്കുന്നതിനുള്ള അൽഗോരിതം ഉള്ള NAND ഫ്ലാഷ് മെമ്മറികളുടെ ഏറ്റവും സമഗ്രമായ ലിസ്റ്റുകളിലൊന്ന് Nand+ സോഫ്‌റ്റ്‌വെയറിലുണ്ട്. സെക്കൻഡിൽ 8 മെഗാബൈറ്റ് വരെ വായിക്കാനും എഴുതാനും കഴിയുന്ന ലോകത്തിലെ ഏറ്റവും വേഗതയേറിയ നന്ദ് പ്രോഗ്രാമർമാരിൽ ഒരാളാണ് TNM5000. എല്ലാ നന്ദും സോഫ്റ്റ്‌വെയർ വഴി സ്വയമേവ കണ്ടെത്താനാകും.
സീരിയൽ ഫ്ലാഷ് ഓർമ്മകൾ:
എല്ലാ 8-16 പിൻ സീരിയൽ SPI ഫ്ലാഷുകളും പ്രോഗ്രാമർ പിന്തുണയ്ക്കുന്നു. എല്ലാ ഫ്ലാഷ് എസ്പിഐകളും സോഫ്റ്റ്‌വെയർ വഴി സ്വയമേവ കണ്ടെത്താനാകും. ഇത് പരമാവധി സുരക്ഷിതമായ 6-7 Mbps വേഗതയിൽ SPI ഫ്ലാഷ് വായിക്കുകയും പ്രോഗ്രാം ചെയ്യുകയും ചെയ്യുന്നു. ഡാറ്റ എഴുതുന്നതിന് മുമ്പ് റൈറ്റിംഗ് പ്രൊട്ടക്ഷൻ ഫ്ലാഷിംഗ് ഉപയോഗിച്ച് പരിരക്ഷയും നീക്കംചെയ്യുന്നു.
മൈക്രോകൺട്രോളറുകൾ:
ATMEL: എല്ലാ AVR 8 ബിറ്റ് ചിപ്പുകളും (ATMEGA/ATTINY/AT90S) ZIF സോക്കറ്റിലും ISP കേബിളിലും പിന്തുണയ്ക്കുന്നു. 64 പിൻ വരെയുള്ള AVR പ്രോഗ്രാമിംഗ് പിന്തുണയ്ക്കുന്നു. പുതുതായി അവതരിപ്പിച്ച ATXMEGA സീരീസും PDI, JTAG രീതിയും പിന്തുണയ്ക്കുന്നു. പഴയ C51 സീരീസും പുതിയ സിംഗിൾ സൈക്കിൾ C51 സീരീസും പൂർണ്ണമായി പിന്തുണയ്ക്കുന്നു. എല്ലാ ശ്രേണികളും സ്വയമേവ കണ്ടെത്താനാകും. ARM7 JTAG പ്രോഗ്രാമിംഗിനെ പിന്തുണയ്ക്കുന്നു.
മൈക്രോചിപ്പ് PIC:
എല്ലാ PIC12F / PIC12C / PIC16C / PIC16F / PIC18F / DPIC33F / J&K സീരീസ് ഉൾപ്പെടെയുള്ള മൈക്രോചിപ്പ് PIC മൈക്രോകൺട്രോളറുകൾക്കായുള്ള ഏറ്റവും സമഗ്രമായ ഉപകരണ പട്ടികകളിലൊന്ന്. 40 പിന്നുകൾ വരെയുള്ള ഉപകരണങ്ങൾ ZIF സോക്കറ്റിൽ പ്രോഗ്രാം ചെയ്യാനാകും, എല്ലാ PIC-കളും സ്വയമേവ കണ്ടെത്താനും ISP കേബിൾ വഴി പ്രോഗ്രാം ചെയ്യാനും കഴിയും.
കൂടാതെ:
പ്രോഗ്രാമുകൾ MIO KB9012, ST, SST, Philips (NXP), Motorola, Syncmos, Silicon Lab, ICSI, Infineon, Intel, Winbond &...
ഓട്ടോമോട്ടീവ് മൈക്രോകൺട്രോളറുകൾ:
നിരവധി BOSCH/VALEO/SAGEM ECU-കൾക്കുള്ള ST10F, TMS370 സീരീസ് ISP കേബിളിനെ പിന്തുണയ്ക്കുക (XPROG-m പ്രോഗ്രാമർ ഉപകരണ ലിസ്റ്റിൽ നിന്നുള്ള പൂർണ്ണ പിന്തുണ ST10F). പിന്തുണ Siemense & Infineon SAK - C167 44 അല്ലെങ്കിൽ 48 പിൻസ് ഫ്ലാഷിലേക്ക് (Siemense / BOSCH / SAGEM S2000 ECU) കണക്‌റ്റ് ചെയ്‌തിരിക്കുന്നു, HSE FlasHit പ്രോഗ്രാമർ പോലെ. ഡാഷ്‌ബോർഡുകൾക്കുള്ള NEC, Motorola ഉപകരണങ്ങൾക്കുള്ള പിന്തുണ. Motorola/FreeScale MC68HC11KA4/MC68HC11A8 പിന്തുണയ്ക്കുന്നു. MC68HC908 സീരീസ് OTP ഫ്യൂസിനെ പിന്തുണയ്ക്കുന്നു. പുതിയ Bosch ECU-കളിൽ ബാഹ്യ 32-ബിറ്റ് ഫ്ലാഷിൻ്റെ (S29CD032 - S29CD016) പിന്തുണയോടെ Infineon Tricore കുടുംബം Audo-NG (അടുത്ത തലമുറ) TC1796 TC1766. മോട്ടറോള MPC562 MPC561 EDC ECU-കളിൽ (Bosch & Sim2K) എക്സ്റ്റേണൽ ഫ്ലാഷ് & എക്സ്റ്റേണൽ EEPROM എന്നിവയ്ക്കുള്ള BDM പ്രോഗ്രാമിംഗ്. സുരക്ഷാ ബൈപാസ് ഫീച്ചറുള്ള മോട്ടറോള HC9S12DG64 / HC9S12DG128 / HC9S12DG256 / HC9S12DG512 സീരീസ്.
PLD/CPLD/FPGA:
Quartus സോഫ്‌റ്റ്‌വെയറിലെ Altera USB Blaster പ്രോഗ്രാമറുടെ അനുകരണത്തിലൂടെ എല്ലാ ALTERA JTAG ഉപകരണങ്ങളും പിന്തുണയ്ക്കുന്നു. ജെഡ് ഫയലിനൊപ്പം Xilinx CPLD/FPGA പിന്തുണയ്ക്കുക. ബിറ്റ് ഫയലിനൊപ്പം Xilinx FPGA-യുടെ ദ്രുത കോൺഫിഗറേഷൻ. Palce അൺലോക്കിംഗിനുള്ള പ്രത്യേക ഓപ്ഷനുള്ള GAL/Palce ഉപകരണങ്ങൾക്കുള്ള പിന്തുണ.
ബഹുഭാഷാ സോഫ്റ്റ്‌വെയർ (ഇംഗ്ലീഷ്/ചൈനീസ്/അറബിക്/ഫ്രഞ്ച്/ഫാർസി/റഷ്യൻ). ഉപഭോക്തൃ അഭ്യർത്ഥന പ്രകാരം മറ്റ് ഭാഷകളും ഉപകരണങ്ങളും ചേർക്കാവുന്നതാണ്. നിങ്ങൾക്ക് സോഫ്‌റ്റ്‌വെയർ ഡൗൺലോഡ് ചെയ്‌ത് ഡെമോ മോഡിൽ പ്രവർത്തിപ്പിച്ച് വിലയിരുത്താം.


ശരി, ഉപകരണം പ്രവർത്തനക്ഷമമായി പരിശോധിക്കാൻ എനിക്ക് കാത്തിരിക്കാനാവില്ല. ഞങ്ങൾ ചിപ്പ് ശ്രദ്ധാപൂർവ്വം അഡാപ്റ്റർ ക്രിബിൽ സ്ഥാപിക്കുന്നു, പ്രോഗ്രാമറുടെ സാർവത്രിക സോക്കറ്റിലേക്ക് അഡാപ്റ്റർ ഇൻസ്റ്റാൾ ചെയ്യുന്നു, പ്രോഗ്രാമറെ ലാപ്ടോപ്പിലേക്ക് ബന്ധിപ്പിക്കുന്നു, നിർമ്മാതാവിൻ്റെ വെബ്‌സൈറ്റിൽ നിന്ന് മുൻകൂട്ടി ഡൗൺലോഡ് ചെയ്‌ത ഇൻസ്റ്റോൾ ചെയ്ത സോഫ്‌റ്റ്‌വെയർ ഉപയോഗിച്ച് 32-ബിറ്റ് Windows 10 പ്രവർത്തിക്കുന്ന ലാപ്‌ടോപ്പ്.




NAND FLASH ചിപ്പുകൾ ഉപയോഗിച്ച് പ്രോഗ്രാമർ പ്രവർത്തിപ്പിക്കുന്നതിന്, ഒരു സോഫ്‌റ്റ്‌വെയർ ഉപയോഗിക്കുന്നു, ബാക്കിയുള്ള ചിപ്പുകളിൽ പ്രവർത്തിക്കാൻ മറ്റൊന്ന്.
ലിസ്റ്റിൽ നിന്ന് ഞങ്ങൾ ഞങ്ങളുടെ ചിപ്പ് തിരഞ്ഞെടുക്കുന്നു, കൂടാതെ...


നിർദ്ദിഷ്ട ചിപ്പ് കാലുകളുടെ നോൺ-കോൺടാക്റ്റിനെക്കുറിച്ച് ഉപകരണം ശ്രദ്ധാപൂർവ്വം മുന്നറിയിപ്പ് നൽകുന്നു. പാനൽ സൌമ്യമായി പുറത്തെടുക്കുക, ചിപ്പ് നീക്കുക - എല്ലാം ശരിയാണ്. പരിശോധിക്കുന്നതിന്, ഞങ്ങൾ സ്വയമേവ കണ്ടെത്തൽ നടത്തുന്നു - പ്രോഗ്രാമർ ഈ കുടുംബത്തിൻ്റെ ഏറ്റവും അടുത്തുള്ള ചിപ്പ് കണ്ടെത്തുന്നു, എല്ലാം ശരിയാണ്.


ഞങ്ങൾ എഴുതുന്നു, വായിക്കുന്നു, മായ്ക്കുന്നു, എല്ലാം ശരിയാണ്, പ്രോഗ്രാമർ എല്ലാ മോഡുകളിലൂടെയും വേഗത്തിൽ പ്രവർത്തിക്കുന്നു.
ശരി, പ്രോഗ്രാമർ വാങ്ങിയ പ്രധാന പ്രവർത്തനത്തിലേക്ക് ഇറങ്ങാം. ജോലിസ്ഥലത്ത്, ഞങ്ങൾ ഡസൻ കണക്കിന് വ്യാവസായിക വൈഫൈ പോയിൻ്റുകൾ HP MSM-310R ഉപയോഗിക്കുന്നു.


ഉപകരണം ചെലവേറിയതാണ്, എന്നിരുന്നാലും, അത് പരാജയപ്പെടുന്നു. വാറൻ്റി കാലഹരണപ്പെട്ടു, അവയിൽ പലതും കുമിഞ്ഞുകൂടി. ഉള്ളിൽ, Hewlett-Packard ഉം മറ്റ് വെളുത്ത ബ്രാൻഡുകളും പോലെ, ഒരു വാങ്ങിയ നിർമ്മാതാവ് ഉണ്ട്, കനേഡിയൻ കൊളുബ്രിസ്.


നിർമ്മാതാവിൽ നിന്നുള്ള ചെറിയ വിവരങ്ങളും LED- കൾ മിന്നിമറയുന്നതും വിലയിരുത്തിയാൽ, പ്രശ്നം സോഫ്റ്റ്വെയറാണെന്ന് മനസ്സിലാക്കാൻ കഴിഞ്ഞു. ഇൻ്റേണൽ ഫയൽ സിസ്റ്റത്തിലെ പരാജയം മൂലമോ ഫ്ലാഷ് മെമ്മറി ചിപ്പ് നശിച്ചതിനാലോ പോയിൻ്റ് ലോഡായില്ല. ശരി, ഞങ്ങൾ K9F5608UOD ചിപ്പ് ഒരു ഹെയർ ഡ്രയർ ഉപയോഗിച്ച് ലൈവ് പോയിൻ്റിൽ നിന്ന് ഊതി, അത് വായിച്ച് തിരികെ സോൾഡർ ചെയ്യുക. റീഡ് ഡമ്പിൽ ഉപകരണത്തിൻ്റെ സീരിയൽ നമ്പറും MAC വിലാസങ്ങളും വിവരിക്കുന്ന കോൺഫിഗറേഷൻ പാരാമീറ്ററുകൾ എവിടെയാണെന്ന് ഞങ്ങൾ കണ്ടെത്തുന്നു. ഇവയിൽ രണ്ടെണ്ണം ഉണ്ട്, രണ്ട് കിലോബൈറ്റ് ബ്ലോക്കുകൾ. അവ പരസ്പരം സമാനമാണ്. നിർജ്ജീവമായ ഒരു പോയിൻ്റിൽ നിന്ന്, ഞങ്ങൾ ചിപ്പ് പൊട്ടിത്തെറിക്കുകയും അത് വായിക്കുകയും അതേ വിലാസങ്ങളിലെ ഡമ്പിൽ തിരിച്ചറിയൽ ബ്ലോക്കുകൾ കണ്ടെത്തുകയും അത് മുറിക്കുകയും സംരക്ഷിക്കുകയും ചെയ്യുന്നു. ഒരു ലൈവ് പോയിൻ്റിൽ നിന്ന് വായിക്കുന്ന ഫേംവെയറിലെ ഈ ബ്ലോക്കുകൾ സീരിയലുകളും ഡെഡ് MAC-കളും ഉപയോഗിച്ച് ആവശ്യമായവ ഉപയോഗിച്ച് ഞങ്ങൾ മാറ്റിസ്ഥാപിക്കുന്നു. ഈ കോംബോ ഫേംവെയർ, സോൾഡർ, വോയ്‌ല എന്നിവ ഉപയോഗിച്ച് ഞങ്ങൾ Aliexpress-ൽ മുൻകൂട്ടി വാങ്ങിയ ഒരു പുതിയ ചിപ്പ് ഫ്ലാഷ് ചെയ്യുന്നു, പോയിൻ്റ് പ്രവർത്തിക്കുന്നു. ഞാൻ ഭാഗ്യവാനായിരുന്നു, ഞാൻ വാങ്ങിയ പുതിയ ചിപ്പ് വളരെ ഉയർന്ന നിലവാരമുള്ളതായി മാറി, ഫാക്ടറിയിൽ നിന്ന് മോശം ബ്ലോക്കുകളൊന്നും ഉണ്ടായിരുന്നില്ല, അതിനാൽ ഡംപ് ഒന്നും ഷിഫ്റ്റുകളില്ലാതെ ഒറ്റത്തവണ എഴുതാം. തീർച്ചയായും, പോയിൻ്റ് പ്രോസസറിൻ്റെ jtag ഇൻ്റർഫേസിലേക്ക് കണക്റ്റുചെയ്യുന്നത് കൂടുതൽ രീതിശാസ്ത്രപരമായി ശരിയാണ്, എന്നാൽ ആ സമയത്ത് അവർ അജ്ഞാതമായത് പരീക്ഷിക്കാൻ ശരിക്കും ചൊറിച്ചിൽ ആയിരുന്നു.
അവസാനമായി, എൻ്റെ ചില പ്രോഗ്രാമർമാരുടെ മിനി മത്സരങ്ങളെക്കുറിച്ച് നിങ്ങളോട് പറയാൻ ഞാൻ ആഗ്രഹിക്കുന്നു. ഞാൻ അവരെ ചവറ്റുകുട്ടകളിൽ നിന്ന് എടുത്ത് ഫോട്ടോയെടുക്കുകയും പരിശോധനയ്ക്കായി തയ്യാറാക്കുകയും ചെയ്തു.


റേഡിയോ ഡിസ്ട്രോയറുകളിൽ അക്കാലത്ത് വളരെ പ്രചാരത്തിലുണ്ടായിരുന്ന സ്വയം സോൾഡറിംഗ് ഉപകരണമായ ബിഡിപ്രോയെ കണ്ടുമുട്ടുക. എന്നാൽ ദീർഘനാളത്തെ നിഷ്‌ക്രിയത്വത്തിൻ്റെ ഫലമായി, ഒരു ഹാർഡ്‌വെയർ പിശക് ഒരു മിസ്സിംഗ് കണക്ഷൻ്റെയോ അല്ലെങ്കിൽ ഒരു ഗൃഹാതുരമായ ഇലക്ട്രോണിക്സ് എഞ്ചിനീയറുടെ പിശുക്കൻ കണ്ണുനീർ മൂലമുണ്ടാകുന്ന ഷോർട്ട് സർക്യൂട്ടിൻ്റെയോ രൂപത്തിൽ എവിടെയോ കടന്നുവന്നു. കൂടാതെ, നിയന്ത്രണ സോഫ്റ്റ്‌വെയറിന് ഡോസ് ആവശ്യമാണ്. തുടക്കത്തിൽ തന്നെ വീഴുന്നു.


രണ്ടാമത്തെ ഉപകരണം, ഒരു SEEPROG ക്ലോൺ, ഒരു നല്ല സീരിയൽ ചിപ്പ് പ്രോഗ്രാമർ ആണ്, നിർമ്മാതാവ് ഇപ്പോഴും സോഫ്റ്റ്വെയർ അപ്ഡേറ്റ് ചെയ്യുന്നു.


മൂന്നാമത്തെ പങ്കാളിയായ വില്ലെമയുടെ ലളിതമായ പതിപ്പായ എസോഫ്ലാഷും മിനിപ്രോ ഏറ്റെടുക്കുന്നതിന് മുമ്പ് സജീവമായി ഉപയോഗിച്ചിരുന്നു.


നാലാമത്തെ പങ്കാളിയായ TL-866-ന് ആമുഖം ആവശ്യമില്ല.
ചില ചിപ്പുകൾ ഞങ്ങൾ വായിക്കുകയും മായ്‌ക്കുകയും എഴുതുകയും ചെയ്യും, കേസുകൾ ഡിഐപി അല്ലെങ്കിൽ, ഞങ്ങൾ ഒരു കൂട്ടം മിനിപ്രോ അഡാപ്റ്ററുകൾ ഉപയോഗിക്കും. Ezoflash-നായി - ഞങ്ങളുടെ സ്വന്തം അഡാപ്റ്ററുകൾ, അവശിഷ്ടങ്ങൾക്കിടയിൽ ഞങ്ങൾക്ക് കണ്ടെത്താൻ കഴിഞ്ഞവ. ടേബിളിലെ ശൂന്യമായ ഇടങ്ങൾ അർത്ഥമാക്കുന്നത് അനുബന്ധ പ്രോഗ്രാമർക്ക് പരീക്ഷണത്തിൻ കീഴിലുള്ള ചിപ്പ് ഉപയോഗിച്ച് പ്രവർത്തിക്കാൻ കഴിയില്ല എന്നാണ്.
ഒരു ഹാർഡ്‌വെയർ LPT പോർട്ട് ഉള്ള മറ്റൊരു പിസിയും ഞങ്ങൾ ഉപയോഗിക്കും.
കോൺഫിഗറേഷൻ തികച്ചും ആധുനികമാണ്, DualCore Intel Core i3-4170, 3700 MHz, 4GB RAM, Gigabyte GA-H81M-S2PV മദർബോർഡ്, ADATA SP550 SSD ഡ്രൈവ്, Windows 7 x32 OS.
ഗവേഷണ ഫലങ്ങൾ ഒരു പട്ടികയിൽ അവതരിപ്പിക്കും; ഓരോ ചലനത്തിൻ്റെയും സമയം സെക്കൻഡിൽ സൂചിപ്പിച്ചിരിക്കുന്നു.


യുഎസ്ബി പ്രോഗ്രാമർമാരുടെ അടിസ്ഥാന പ്രവർത്തനങ്ങളുടെ വേഗതയിൽ ഒരു നേട്ടമുണ്ട്.
ഇൻ-സർക്യൂട്ട് പ്രോഗ്രാമിംഗ് മോഡിൽ പ്രോഗ്രാമറുടെ പ്രവർത്തനം ഞാൻ പരിശോധിച്ചില്ല - ഈ കഴിവുകളിൽ താൽപ്പര്യമില്ലാത്തതിനാൽ, മൈക്രോകൺട്രോളറുകളുടെ പ്രോഗ്രാമിംഗും ഞാൻ പരിശോധിച്ചില്ല, കാരണം ഉപകരണത്തിൻ്റെ കഴിവുകളിൽ എനിക്ക് 146% ആത്മവിശ്വാസമുണ്ട്.
ചുരുക്കത്തിൽ, പ്രധാന കാര്യം ശ്രദ്ധിക്കാൻ ഞാൻ ആഗ്രഹിക്കുന്നു
ഈ പ്രോഗ്രാമറുടെ പ്രയോജനങ്ങൾ:
ജോലി,
പിന്തുണയ്‌ക്കുന്ന ചിപ്പുകളുടെ വിശാലമായ ശ്രേണി, ലിസ്റ്റ് നിരന്തരം അപ്‌ഡേറ്റ് ചെയ്യുന്നു.
ഭൂരിഭാഗം മൈക്രോ സർക്യൂട്ടുകൾക്കുമുള്ള വിലകുറഞ്ഞ പിൻ-2-പിൻ അഡാപ്റ്ററുകൾ (ചിപ്പ് അധിഷ്‌ഠിത SOP44 - DIP40 ഒഴികെ, ഏതാണ്ട് അമ്പത് ഡോളറിൻ്റെ അശ്ലീലമായ വില)
സമാന പ്രവർത്തനക്ഷമതയുള്ള സമാന ഉപകരണങ്ങളുമായി താരതമ്യപ്പെടുത്തുമ്പോൾ ന്യായമായ വില.
പോരായ്മകൾ:ഞാനത് ഇതുവരെ കണ്ടെത്തിയിട്ടില്ല.
മസ്‌കയിലെ എൻ്റെ ആദ്യ ക്രിയോ ഇതുവരെ വായിച്ച എല്ലാവർക്കും നന്ദി, സാധ്യമായ നാവ് ബന്ധനത്തിന് ഞാൻ മുൻകൂട്ടി ക്ഷമ ചോദിക്കുന്നു, ഞാൻ വേഡ് ഉപയോഗിച്ചിട്ടില്ല, റഷ്യൻ എൻ്റെ മാതൃഭാഷയല്ല.
അതെ, ഞാൻ ആവർത്തിക്കുന്നു, ഉപകരണം എൻ്റെ സ്വന്തം പണം കൊണ്ട് വാങ്ങിയതാണ്. ഞാൻ +30 വാങ്ങാൻ പദ്ധതിയിടുന്നു ഇഷ്ടപെട്ടവയിലേക്ക് ചേര്ക്കുക എനിക്ക് അവലോകനം ഇഷ്ടപ്പെട്ടു +75 +124

2019-12-30 പ്രോഗ്രാമിൻ്റെ അവസാന അപ്ഡേറ്റ് തീയതി: 2019-12-30

രണ്ട് മുഖമുള്ള ജാനസ്

ഈ പ്രോഗ്രാമറെ വിളിക്കാൻ ഞങ്ങൾ തീരുമാനിച്ചു " ജാനസ്".

എന്തുകൊണ്ടാണത്? കാരണം റോമൻ പുരാണങ്ങളിൽ ജാനസ് ആണ് രണ്ട് മുഖമുള്ളവാതിലുകളുടെയും പ്രവേശന കവാടങ്ങളുടെയും പുറത്തുകടക്കലിൻ്റെയും ആരംഭത്തിൻ്റെയും അവസാനത്തിൻ്റെയും ദൈവം. എന്ത് ബന്ധം? എന്തുകൊണ്ടാണ് ഞങ്ങളുടെ ചിപ്‌സ്റ്റാർ-ജാനസ് പ്രോഗ്രാമർ രണ്ട് മുഖമുള്ള?

എന്തുകൊണ്ടെന്ന് ഇതാ:

  • മറ്റൊരുതരത്തിൽ, ഈ പ്രോഗ്രാമർ ലളിതമാണ്. ആയി വിതരണം ചെയ്തു സൗജന്യ പദ്ധതി, ഇത് സാധ്യമാണ് സ്വയം നിർമ്മിക്കാൻ എളുപ്പമാണ്.
  • മറുവശത്ത്, ഇത് വളരെക്കാലമായി കമ്പനി വികസിപ്പിച്ചെടുത്തതാണ് പ്രൊഫഷണലായി ഏർപ്പെട്ടിരിക്കുന്നുപ്രോഗ്രാമർമാർ ഉൾപ്പെടെ വിവിധ ഇലക്ട്രോണിക് ഉപകരണങ്ങളുടെ വികസനവും ഉത്പാദനവും.
  • മറ്റൊരുതരത്തിൽ, ഈ പ്രോഗ്രാമർ ലളിതമാണ്, ഒറ്റനോട്ടത്തിൽ ഇതിന് വളരെ ശ്രദ്ധേയമായ സ്വഭാവസവിശേഷതകൾ ഇല്ല.
  • മറുവശത്ത്, കൂടെ ഒരുമിച്ച് പ്രവർത്തിക്കുന്നു പ്രൊഫഷണൽ പ്രോഗ്രാം(വഴി, മറ്റ് പ്രൊഫഷണൽ ചിപ്‌സ്റ്റാർ പ്രോഗ്രാമർമാരെപ്പോലെ തന്നെ).
  • മറ്റൊരുതരത്തിൽ, ഞങ്ങൾ ഈ പ്രോഗ്രാമർ സൗജന്യമായി വാഗ്ദാനം ചെയ്യുന്നു സൗ ജന്യംഅസംബ്ലികൾ.
  • മറ്റൊരുതരത്തിൽ, ഞങ്ങൾ ഇത് ഒരു സാധാരണ ബജറ്റ് ഉൽപ്പന്നം പോലെ പൂർത്തിയായ രൂപത്തിൽ വിൽക്കുകയും ചെയ്യുന്നു.
  • മറ്റൊരുതരത്തിൽ, വീട്ടിൽ നിർമ്മിച്ച പ്രോഗ്രാമർക്ക് ഒരു വാറൻ്റി ബാധകമല്ല (ഇത് സ്വാഭാവികമാണ്).
  • മറ്റൊരുതരത്തിൽ, നിങ്ങൾക്ക് ഇത് കൂട്ടിച്ചേർക്കാൻ കഴിഞ്ഞെങ്കിൽ, നിങ്ങൾക്ക് അത് നന്നാക്കാൻ കഴിയും, കൂടാതെ പ്രോഗ്രാമർ വളരെ ലളിതമാണ്, വാസ്തവത്തിൽ, തകർക്കാൻ ഒന്നുമില്ല.
  • മറ്റൊരുതരത്തിൽ, ഇത് ലളിതമാണ് ഇൻ-സർക്യൂട്ട്പ്രോഗ്രാമർ
  • മറ്റൊരുതരത്തിൽ, ലളിതമായ വിപുലീകരണ അഡാപ്റ്ററുകൾ വഴി ഇത് പ്രോഗ്രാമിംഗിനെ പിന്തുണയ്ക്കുന്നു NAND ഫ്ലാഷ്മറ്റ് മൈക്രോ സർക്യൂട്ടുകൾ ഇതിനകം "സോക്കറ്റിൽ" ഉണ്ട്.

അതിനാൽ പ്രോഗ്രാമർ ചിപ്സ്റ്റാർ-ജാനസ്പല സ്പെഷ്യലിസ്റ്റുകൾക്കും, വിവിധ ലളിതമോ അമേച്വർ പ്രോഗ്രാമർമാരോ മതിയാകാത്ത സാഹചര്യത്തിൽ, കൂടുതൽ സങ്കീർണ്ണമായ പ്രോഗ്രാമർ അനാവശ്യമായി തോന്നുകയോ അല്ലെങ്കിൽ അതിനായി മതിയായ ബജറ്റ് വകയിരുത്തുകയോ ചെയ്യുന്ന സാഹചര്യത്തിൽ ഇത് ഒരു യഥാർത്ഥ പരിഹാരമാകും.

എന്താണ് ഈ പ്രോഗ്രാമർ വികസിപ്പിക്കാൻ ഞങ്ങളെ പ്രേരിപ്പിച്ചത്.

അനുയോജ്യമായ നിരവധി ലളിതമായ സ്പെഷ്യലൈസ്ഡ് പ്രോഗ്രാമർമാർ ഉണ്ട് സ്വയം നിർമ്മിച്ചത്.

വിലകുറഞ്ഞ പലതും ഉണ്ട് ചൈനീസ് പ്രോഗ്രാമർമാർഒരു റെഡിമെയ്ഡ് രൂപത്തിൽ.

വളരെ കുറച്ച് ഉണ്ട് അമേച്വർ വികസനങ്ങൾ, പലപ്പോഴും ഗുണനിലവാരത്തിൽ രണ്ടാമത്തേതിനേക്കാൾ മികച്ചതാണ്.

മറ്റൊരു കരകൗശലത്തിൻ്റെ പ്രയോജനം എന്താണെന്ന് തോന്നുന്നു?

വളരെക്കാലമായി ഞങ്ങൾ സാർവത്രിക പ്രോഗ്രാമർമാരെ വികസിപ്പിക്കുകയും നിർമ്മിക്കുകയും പിന്തുണയ്ക്കുകയും ചെയ്യുന്നു, പ്രധാനമായും ഉദ്ദേശ്യങ്ങൾക്കായി. വൈവിധ്യമാർന്ന മൈക്രോ സർക്യൂട്ടുകളിൽ പ്രവർത്തിച്ച ഞങ്ങൾക്ക് വിപുലമായ അനുഭവമുണ്ട്. മുകളിൽ സൂചിപ്പിച്ച "ഉൽപ്പന്നങ്ങളിൽ" ഒന്ന് ഇതിനകം ശേഖരിക്കുകയും പലപ്പോഴും വാങ്ങുകയും ചെയ്ത ആളുകൾ ഞങ്ങളെ പലപ്പോഴും ബന്ധപ്പെടാറുണ്ട്. ഞങ്ങളുടെ സ്പെഷ്യലിസ്റ്റുകൾക്ക് സർക്യൂട്ട് ഡിസൈനുകൾ നോക്കുക, ഗുണനിലവാരം നിർമ്മിക്കുക, പ്രത്യേകിച്ച്, ചിരിയോ/കണ്ണീരോ/ഭയമോ ഇല്ലാതെ ഈ ഉപകരണങ്ങളുടെ സോഫ്‌റ്റ്‌വെയർ (അനുയോജ്യമായ രീതിയിൽ അടിവരയിടുക) ചെയ്യുന്നത് അസാധ്യമാണ്. ഒരു പ്രോഗ്രാമർ "മൂന്ന് കോപെക്കുകൾ" ചിലവാക്കിയാൽ കുഴപ്പമില്ല, നിങ്ങൾ അത് വാങ്ങുന്നു, ചിലത് പ്രവർത്തിക്കുന്നു, ചിലത് ചെയ്യരുത്, പക്ഷേ പണം വളരെ കൂടുതലല്ല. എന്നാൽ പലപ്പോഴും അത്തരം ഉപകരണങ്ങളുടെ വില/പ്രാപ്തി അനുപാതം നമ്മെ അത്ഭുതപ്പെടുത്തുന്നു, അത് മിതമായ രീതിയിൽ പറഞ്ഞാൽ. എനിക്ക് ആശ്ചര്യപ്പെടാൻ ആഗ്രഹമുണ്ട്: ഇതിന് അത്ര വിലയില്ല!

മേൽപ്പറഞ്ഞവയ്‌ക്ക് പുറമേ, സ്വയം-നിർമ്മാണത്തിന് അനുയോജ്യമായ പ്രോഗ്രാമർമാരുടെ ഒരു പ്രത്യേക വിഭാഗം ഉണ്ട് - മൈക്രോ സർക്യൂട്ടുകൾ (പ്രധാനമായും മൈക്രോകൺട്രോളറുകൾ) നിർമ്മിക്കുന്ന കമ്പനികളിൽ നിന്നുള്ള സ്പെഷ്യലിസ്റ്റുകൾ വികസിപ്പിച്ച പ്രോഗ്രാമർമാരാണ് (കൂടുതൽ കൃത്യമായി, പ്രോഗ്രാമർ സർക്യൂട്ടുകളും സോഫ്റ്റ്വെയറും). അത്തരം പ്രോഗ്രാമർമാർ തികച്ചും പ്രൊഫഷണലായാണ് രൂപകൽപ്പന ചെയ്തിരിക്കുന്നത്; അവരുടെ സർക്യൂട്ട് ഡിസൈനിൽ "മണ്ടത്തരങ്ങൾ" ഇല്ല. എല്ലാ പ്രഖ്യാപിത ചിപ്പുകളേയും അവർ പിന്തുണയ്ക്കുന്നു. എന്നാൽ രണ്ട് “ചെറിയ” പോരായ്മകളുണ്ട്: പ്രോഗ്രാമബിൾ മൈക്രോ സർക്യൂട്ടുകളുടെ ലിസ്റ്റ് വളരെ പരിമിതമാണ് (ഇത് തികച്ചും മനസ്സിലാക്കാവുന്നതേയുള്ളൂ) കൂടാതെ സോഫ്റ്റ്വെയർ വളരെ സ്പാർട്ടൻ ആണ് - അനാവശ്യ ഫംഗ്ഷനുകളൊന്നുമില്ല, ചട്ടം പോലെ - മാത്രം മായ്ക്കുക, എഴുതുക, സ്ഥിരീകരിക്കുക. പലപ്പോഴും പ്രവർത്തനങ്ങൾ പോലും വായനമൈക്രോചിപ്പ് ഇല്ല.

അതിനാൽ, പ്രോഗ്രാമർ ചിപ്സ്റ്റാർ-ജാനസ്പ്രാരംഭ കോൺഫിഗറേഷനിൽ ഇത് ഒരു ഇൻ-സർക്യൂട്ട് പ്രോഗ്രാമറാണ്. ഈ മോഡിൽ ഇത് മൈക്രോകൺട്രോളറുകളെ പിന്തുണയ്ക്കുന്നു PICഒപ്പം എ.വി.ആർകമ്പനികൾ മൈക്രോചിപ്പ്, ചില മൈക്രോകൺട്രോളർ ആർക്കിടെക്ചറുകൾ MCS51, കമ്പനിയിൽ നിന്നുള്ള മൈക്രോകൺട്രോളറുകൾ എസ്ടിമൈക്രോ ഇലക്ട്രോണിക്സ്കൂടാതെ മറ്റു പലതും, ഇൻ്റർഫേസുള്ള സീരിയൽ മെമ്മറി ചിപ്പുകളും I2C(മിക്കവാറും എപ്പിസോഡ് 24). പ്രോഗ്രാമർ എക്സ്പാൻഷൻ കണക്ടറിലേക്ക് നിങ്ങൾക്ക് ലളിതമായ അഡാപ്റ്ററുകൾ കണക്റ്റുചെയ്യാനും "സോക്കറ്റിൽ" മെമ്മറി ചിപ്പുകൾ പ്രോഗ്രാമിംഗ് ആരംഭിക്കാനും കഴിയും.

ഇപ്പോൾ പ്രോഗ്രാമിംഗ് "സോക്കറ്റിൽ" നടപ്പിലാക്കുന്നു:

  1. EPROM) ഇൻ്റർഫേസ് ഉപയോഗിച്ച് I2C(പരമ്പര 24xx);
  2. സീരിയൽ ഫ്ലാഷ് മെമ്മറി ചിപ്പുകൾ (സീരിയൽ ഫ്ലാഷ്) ഇൻ്റർഫേസ് ഉപയോഗിച്ച് എസ്.പി.ഐ (എസ്പിഐ ഫ്ലാഷ്);
  3. സീരിയൽ മെമ്മറി ചിപ്പുകൾ (സീരിയൽ EPROM) ഇൻ്റർഫേസ് ഉപയോഗിച്ച് എം.ഡബ്ല്യു. (പരമ്പര 93xx);
  4. മൈക്രോ സർക്യൂട്ടുകൾ നന്ദ് ഫ്ലാഷ്;

മൂന്ന് ക്ലിക്കുകളിലൂടെ സ്വതന്ത്രമായി മൈക്രോ സർക്യൂട്ടുകൾ ചേർക്കുന്നതിനുള്ള സാങ്കേതികവിദ്യയെ പ്രോഗ്രാമറും സോഫ്റ്റ്വെയറും പിന്തുണയ്ക്കുന്നു. മൈക്രോ സർക്യൂട്ടുകളുടെ കൂട്ടിച്ചേർക്കൽ ഇതുവരെ നടപ്പാക്കിയിട്ടുണ്ട് NANDഒപ്പം I2C. സമീപഭാവിയിൽ തന്നെ മെഗാവാട്ട് ചിപ്പുകൾക്കായി ഈ സാങ്കേതികവിദ്യ നടപ്പിലാക്കാൻ പദ്ധതിയിട്ടിട്ടുണ്ട് ( പരമ്പര 93xx) ഒപ്പം എ.വി.ആർ. അതിനാൽ, നിങ്ങൾക്ക് ഒരു പ്രോഗ്രാമർ മാത്രമല്ല, ലഭിക്കും സ്വതന്ത്ര ജോലിക്കുള്ള ശക്തമായ ഉപകരണം.

ഒരു ChipStar-Janus പ്രോഗ്രാമർ ലഭിക്കുന്നതിനുള്ള മൂന്ന് വഴികൾ

ആദ്യ രീതി:
പ്രോഗ്രാമറെ സ്വയം പൂർണ്ണമായി കൂട്ടിച്ചേർക്കുക

സമയവും അനുഭവവും ആഗ്രഹവും ഉള്ളവർക്കും എന്നാൽ പരിമിതമായ സാമ്പത്തിക സ്രോതസ്സുകൾ ഉള്ളവർക്കും ഈ രീതി അനുയോജ്യമാണ്. അല്ലെങ്കിൽ വെറും ജിജ്ഞാസ.

പ്രവർത്തനങ്ങളുടെ അൽഗോരിതം:

രണ്ടാമത്തെ രീതി:
ഒരു റെഡിമെയ്ഡ് പ്രിൻ്റഡ് സർക്യൂട്ട് ബോർഡും ഒരു ഫേംവെയർ മൈക്രോകൺട്രോളറും വാങ്ങി പ്രോഗ്രാമറെ സ്വയം കൂട്ടിച്ചേർക്കുക

രീതി മുമ്പത്തേതിന് സമാനമാണ്, ഏറ്റവും ബുദ്ധിമുട്ടുള്ള പ്രവർത്തനങ്ങളിൽ നിന്ന് നിങ്ങൾ സ്വയം രക്ഷിക്കും: പ്രിൻ്റഡ് സർക്യൂട്ട് ബോർഡുകൾ നിർമ്മിക്കുകയും പ്രോഗ്രാമർ ഇല്ലാതെ മൈക്രോകൺട്രോളർ ഫേംവെയർ മിന്നുകയും ചെയ്യുന്നു.

പ്രവർത്തനങ്ങളുടെ അൽഗോരിതം:

  1. സ്വയം അസംബിൾ ചെയ്ത പ്രോഗ്രാമർക്കുള്ള ഉപയോഗ നിബന്ധനകൾ വായിക്കുക.
  2. പ്രോഗ്രാമർ കൂട്ടിച്ചേർക്കുന്നതിനുള്ള നിർദ്ദേശങ്ങൾ വായിക്കുക.
  3. പ്രോഗ്രാമർക്കുള്ള പൂർണ്ണമായ ഡോക്യുമെൻ്റേഷൻ ഡൗൺലോഡ് ചെയ്യുക.
  4. ഒരു അസംബ്ലി കിറ്റ് വാങ്ങുക (റെഡിമെയ്ഡ് പ്രിൻ്റഡ് സർക്യൂട്ട് ബോർഡും ഇതിനകം റെക്കോർഡ് ചെയ്ത ഫേംവെയർ ഉള്ള മൈക്രോകൺട്രോളറും).
  5. അതിനനുസരിച്ച് പ്രോഗ്രാമർ കൂട്ടിച്ചേർക്കാൻ ആവശ്യമായ ഉപകരണങ്ങൾ വാങ്ങുക

2017-05-25 അവസാനം പരിഷ്കരിച്ച തീയതി: 2018-10-10

ലേഖനം ചർച്ച ചെയ്യുന്നു: മൈക്രോ സർക്യൂട്ടുകളുടെ ഉപയോഗത്തിൻ്റെ സവിശേഷതകൾ NAND ഫ്ലാഷ്,പേജ് ലേഔട്ടിനും മോശം ബ്ലോക്ക് മാനേജ്മെൻ്റിനുമുള്ള രീതികൾ. പ്രോഗ്രാമർമാരെ ഉപയോഗിച്ച് പ്രോഗ്രാമിംഗ് ചെയ്യുന്നതിനുള്ള ശുപാർശകൾ.

ഉള്ളടക്കം:

1. സിദ്ധാന്തം

1.1 NAND FLASH ചിപ്പുകളും പരമ്പരാഗത ചിപ്പുകളും തമ്മിലുള്ള വ്യത്യാസം

നിങ്ങൾ സാങ്കേതികവിദ്യയുടെ സങ്കീർണതകൾ പരിശോധിക്കുന്നില്ലെങ്കിൽ, മൈക്രോ സർക്യൂട്ടുകൾ തമ്മിലുള്ള വ്യത്യാസം NANDമറ്റ് മെമ്മറി ചിപ്പുകളിൽ നിന്ന് ഇപ്രകാരമാണ്:

  • മൈക്രോ സർക്യൂട്ടുകൾ NANDവളരെ ഉണ്ട് വലിയ വോള്യം.
  • മൈക്രോ സർക്യൂട്ടുകൾ NANDകഴിയും മോശം (മോശം) ബ്ലോക്കുകൾ.
  • പേജ് വലിപ്പംരേഖകള് 2 ൻ്റെ ശക്തിയല്ല .
  • ചിപ്പിലേക്ക് എഴുതുന്നുനടപ്പിലാക്കി പേജുകൾ മാത്രം , മായ്ക്കൽ - കുറഞ്ഞത് ബ്ലോക്കുകളിലെങ്കിലും .

കുറച്ച് വ്യത്യാസങ്ങൾ കൂടി ഉണ്ട്, എന്നാൽ ആദ്യത്തെ രണ്ട് സവിശേഷതകൾ പ്രധാനമാണ്. ഏറ്റവും കൂടുതൽ പ്രശ്നങ്ങൾ ഉണ്ടാക്കുന്നു മോശം ബ്ലോക്കുകളുടെ സാന്നിധ്യം.

1.2 NAND FLASH ചിപ്പുകളുടെ ഓർഗനൈസേഷൻ

മൈക്രോ സർക്യൂട്ടുകളുടെ ഓർഗനൈസേഷനെയും ഘടനയെയും കുറിച്ചുള്ള കൂടുതൽ വിശദാംശങ്ങൾ NANDപ്രത്യേക സാഹിത്യത്തിൽ വായിക്കാം, പക്ഷേ ഞങ്ങൾ ഇത് ശ്രദ്ധിക്കുന്നു:

  • മൈക്രോ സർക്യൂട്ടുകൾ NANDൽ സംഘടിപ്പിച്ചു പേജുകൾ (പേജുകൾ), പേജുകളിൽ ബ്ലോക്കുകൾ (ബ്ലോക്കുകൾ), തടയുന്നു ലോജിക്കൽ മൊഡ്യൂളുകൾ (ലൂൺ).
  • പേജ് വലിപ്പം NAND 2 ൻ്റെ ഗുണിതമല്ല.
  • പേജ് ഉൾക്കൊള്ളുന്നു അടിസ്ഥാനഒപ്പം മിച്ചം (മിച്ചം) പ്രദേശങ്ങൾ.

ഡവലപ്പർമാരുടെ അഭിപ്രായത്തിൽ NAND വികോർ ഏരിയസ്ഥിതിചെയ്യണം ഡാറ്റ തന്നെ, എ സ്പെയർ (റിസർവ്) ഏരിയയിൽ - മോശം ബ്ലോക്ക് മാർക്കറുകൾ, ചെക്ക്സംസ്പ്രധാന പ്രദേശം, മറ്റുള്ളവ സേവന വിവരം.

അവർ സംസാരിക്കുകയാണെങ്കിൽ പേജ് വലിപ്പം NAND ചിപ്പുകൾ 512 ബൈറ്റ് അല്ലെങ്കിൽ 2Kബൈറ്റ്, പിന്നെ നമ്മൾ സംസാരിക്കുന്നത് പ്രധാന പ്രദേശത്തിൻ്റെ വലിപ്പംപേജുകൾ ഒഴികെ മിച്ചം.

1.3 പേജ് സ്പെയർ ഏരിയ ഉപയോഗിക്കാനുള്ള വഴികൾ

NAND ചിപ്പുകളുടെ ഡവലപ്പർമാരുടെ പദ്ധതികൾ അനുസരിച്ച് ഒരിക്കൽ കൂടി ഞങ്ങൾ നിങ്ങളെ ഓർമ്മിപ്പിക്കാം സ്പെയർ ഏരിയയിൽ വേണംസ്ഥിതി ചെയ്യുന്നത്: മോശം ബ്ലോക്ക് മാർക്കറുകൾ, ചെക്ക്സംസ്പ്രധാന ഡാറ്റ ഏരിയ, മറ്റുള്ളവസേവന വിവരം.

മിക്ക ഡെവലപ്പർമാരും വിവരിക്കുന്നു സ്ഥാനംമോശം ബ്ലോക്ക് മാർക്കറുകൾവിതരണം ചെയ്ത മൈക്രോ സർക്യൂട്ടുകളിൽ. സ്പെയർ ഏരിയ ഉപയോഗിക്കുന്നതിൻ്റെ മറ്റ് വശങ്ങൾക്കായി, ഹാമിംഗ് അനുസരിച്ച് പൊതുവായ ശുപാർശകളും ECC കണക്കാക്കുന്നതിനുള്ള ഒരു അൽഗോരിതവും നൽകിയിരിക്കുന്നു. സാംസങ് കുറച്ചുകൂടി മുന്നോട്ട് പോയി, "എന്ന് വിളിക്കുന്ന ശുപാർശകൾ വികസിപ്പിക്കുന്നു. സ്പെയർ NAND ഫ്ലാഷ് മെമ്മറി ഏരിയ. ഉദ്ദേശ്യ നിലവാരം "("NAND ഫ്ലാഷ് സ്പെയർ ഏരിയ. അസൈൻമെൻ്റ് സ്റ്റാൻഡേർഡ്", 27. ഏപ്രിൽ. 2005, മെമ്മറി ഡിവിഷൻ, സാംസങ് ഇലക്ട്രോണിക്സ് കമ്പനി, ലിമിറ്റഡ്).

അതിനാൽ, ഈ മാനദണ്ഡം സ്പെയർ ഏരിയയുടെ ഇനിപ്പറയുന്ന ഉപയോഗം നിർദ്ദേശിക്കുന്നു:

2048+64 ബൈറ്റുകളുടെ പേജ് വലുപ്പമുള്ള ചിപ്പുകൾക്കായിപേജിൻ്റെ പ്രധാന, സ്പെയർ ഏരിയകൾ ഓരോന്നിനും 4 ശകലങ്ങളായി (സെക്ടറുകൾ) തിരിച്ചിരിക്കുന്നു:

പ്രദേശംവലിപ്പം (ബൈറ്റ്)ശകലം
പ്രധാന512 സെക്ടർ 1
512 സെക്ടർ 2
512 സെക്ടർ 3
512 സെക്ടർ 4
സ്പെയർ16 സെക്ടർ 1
16 സെക്ടർ 2
16 സെക്ടർ 3
16 സെക്ടർ 4

ഓരോ ശകലംഅവരുടെ പ്രധാന പ്രദേശം പൊരുത്തപ്പെടുന്നു സ്പെയർ ഏരിയ ശകലം.

ഒരു സ്പെയർ ഏരിയ ഉപയോഗിക്കുന്നത് (നാല് ശകലങ്ങളിൽ ഓരോന്നിനും)
2048+64 ബൈറ്റുകളുടെ പേജ് വലുപ്പമുള്ള ചിപ്പുകൾക്കായി:
പക്ഷപാതം
(ബൈറ്റ്)
വലിപ്പം
(ബൈറ്റ്)
ഉദ്ദേശംവിവരണം
മോശം ബ്ലോക്ക് മാർക്കർ
സംവരണം ചെയ്തു
ലോജിക്കൽ സെക്ടർ നമ്പർ
സെക്ടർ നമ്പറിനായി കരുതിവച്ചിരിക്കുന്നു
സംവരണം ചെയ്തു
പ്രധാന പേജ് ഏരിയയ്ക്കുള്ള ECC കോഡ്
ലോജിക്കൽ സെക്ടർ നമ്പറിനുള്ള ECC കോഡ്
സംവരണം ചെയ്തു

എന്നാൽ ഇത് പേജ് മെമ്മറി അനുവദിക്കുന്നതിനുള്ള ഒരേയൊരു "സ്റ്റാൻഡേർഡ്" അല്ല; അവയിൽ നിരവധി ഡസൻ നമുക്ക് മാത്രമേ അറിയൂ, ഉദാഹരണത്തിന്:

  • "WinCE 5.0 ന് കീഴിൽ NAND ഫ്ലാഷ് മാനേജ്മെൻ്റ് ", NXP;
  • "NX2LP ഉപയോഗിക്കുന്ന NAND ഫ്ലാഷിനായുള്ള മോശം ബ്ലോക്ക് മാനേജ്മെൻ്റ് ", ഡിസംബർ 15, 2006, സൈപ്രസ് സെമികണ്ടക്ടർ;
  • "OLPC NAND മോശം ബ്ലോക്ക് മാനേജ്മെൻ്റ് ", OLPC.

1.4 NAND ചിത്രവും ബൈനറി ചിത്രവും

നിങ്ങൾ കണ്ടുമുട്ടിയേക്കാം രണ്ട് ഓപ്ഷനുകൾറെക്കോർഡിംഗിനുള്ള ചിത്രം:

  1. ബൈനറി ഫയൽ തകർന്നിട്ടില്ലപേജുകളിലേക്ക് ഒപ്പം സ്പെയർ ഏരിയ ഇല്ലാതെ.
    നിങ്ങൾ ഉപയോഗിക്കുന്ന ഒരു ഉപകരണ ഡെവലപ്പർ ആണെങ്കിൽ ഈ ഓപ്ഷൻ സാധ്യമാണ് NANDഅല്ലെങ്കിൽ ഡെവലപ്പറിൽ നിന്ന് അത്തരമൊരു ഫയൽ ലഭിച്ചു. ഏത് വലുപ്പത്തിലുമുള്ള പേജുകളും സ്പെയർ ഏരിയയുടെ ഏത് വിതരണവും ഉള്ള മൈക്രോ സർക്യൂട്ടുകളിലേക്ക് എഴുതാൻ ഈ ചിത്രം അനുയോജ്യമാണ്, ഏത് രീതിയിലാണ് സ്പെയർ ഏരിയ രൂപീകരിക്കുന്നതെന്ന് നിങ്ങൾ അറിയേണ്ടതുണ്ട്.
  2. മറ്റൊരു മൈക്രോ സർക്യൂട്ടിൽ നിന്ന് വായിച്ച ഒരു ചിത്രം (സാമ്പിൾ), മോശം ബ്ലോക്കുകളുടെയും സേവന വിവരങ്ങളുടെയും നിയന്ത്രണ കോഡുകളുടെയും അടയാളപ്പെടുത്തലുകളുള്ള ഒരു സ്പെയർ ഏരിയ അടങ്ങിയിരിക്കുന്നു.
    ഈ ചിത്രം എഴുതാം മാത്രംകൂടെ ഒരു ചിപ്പിലേക്ക് കൃത്യമായി ഒരേ അളവുകൾപേജുകളും ബ്ലോക്കുകളും.

വിവിധ ഉപകരണങ്ങൾ നന്നാക്കുന്ന ആ സ്പെഷ്യലിസ്റ്റുകൾ പലപ്പോഴും രണ്ടാമത്തെ കേസ് നേരിടുന്നു. അത്തരമൊരു സാഹചര്യത്തിൽ, ഉപയോഗിച്ച സ്പെയർ ഏരിയ അലോക്കേഷൻ രീതിയും ഉപയോഗിച്ച മോശം ബ്ലോക്ക് മാനേജ്മെൻ്റ് രീതിയും നിർണ്ണയിക്കാൻ പലപ്പോഴും ബുദ്ധിമുട്ടാണ്.

1.5 മോശം ബ്ലോക്കുകളുടെ ഫാക്ടറി അടയാളപ്പെടുത്തൽ

കൂടുതലോ കുറവോ നിലവാരമുള്ള ഒരേയൊരു കാര്യം മോശം ബ്ലോക്കുകളുടെ ഫാക്ടറി അടയാളപ്പെടുത്തൽ.

  • മോശം ബ്ലോക്കുകൾ അടയാളപ്പെടുത്തിയിരിക്കുന്നുഓൺ 0 അല്ലെങ്കിൽ 1 പേജ്പേജ് വലുപ്പം 4K-യിൽ കുറവുള്ള ചിപ്പുകൾക്കായി.
  • വേണ്ടി 4K പേജുകൾ കൂടുതൽ, അടയാളപ്പെടുത്തൽ ഓണായിരിക്കാം അവസാനത്തെ പേജ്തടയുക.
  • ഞാൻ തന്നെ മോശം ബ്ലോക്ക് മാർക്കർപേജിൻ്റെ സ്പെയർ ഏരിയയിൽ ചെറിയ പേജുകൾക്കായി (512 ബൈറ്റുകൾ) അഞ്ചാമത്തെ ബൈറ്റിലും വലിയ പേജുകൾക്ക് (2 കെ) 0 മത്തെ ബൈറ്റിലും സ്ഥിതിചെയ്യുന്നു.
  • മോശം ബ്ലോക്ക് മാർക്കർകാര്യമായിരിക്കാം 0x00അഥവാ 0xF0 ചെറിയ പേജുകൾക്ക്ഒപ്പം 0x00 കൂടുതൽഎക്സ്.
  • നല്ല ബ്ലോക്കുകൾഎപ്പോഴും അടയാളപ്പെടുത്തിയിരിക്കുന്നു 0xFF.
  • ഏത് സാഹചര്യത്തിലും അർത്ഥം 0xFF ൽ നിന്ന് വ്യത്യസ്തമാണ്എന്ന് പ്രോഗ്രാമർ മനസ്സിലാക്കുന്നു മോശം ബ്ലോക്ക് മാർക്കർ.
  • ചട്ടം പോലെ, ആധുനികത്തിൽ NAND മോശം ബ്ലോക്ക് പൂർണ്ണമായും 0x00 മൂല്യം കൊണ്ട് നിറഞ്ഞിരിക്കുന്നു.

ഒരു പ്രശ്നമുണ്ട്: ഒരു മോശം ബ്ലോക്ക് മായ്ക്കാൻ കഴിയും. ഈ രീതിയിൽ, നിങ്ങൾക്ക് മോശം ചിപ്പ് ബ്ലോക്കുകളെക്കുറിച്ചുള്ള വിവരങ്ങൾ നഷ്ടപ്പെടാം.

എന്നിരുന്നാലും, മൈക്രോ സർക്യൂട്ട് ഇതിനകം ഉപകരണത്തിൽ പ്രവർത്തിച്ചിട്ടുണ്ടെങ്കിൽ, മോശം ബ്ലോക്കുകൾ അടയാളപ്പെടുത്തുന്ന ഈ രീതി എല്ലായ്പ്പോഴും ഉപയോഗിക്കില്ല. ചിലപ്പോൾ മോശം ബ്ലോക്ക് വിവരങ്ങൾ പോലും NAND മെമ്മറിയിൽ സൂക്ഷിക്കില്ല. പക്ഷേ, മിക്കപ്പോഴും, ഉപകരണ സോഫ്റ്റ്‌വെയർ ഡെവലപ്പർ മോശം ബ്ലോക്കുകൾ കൈകാര്യം ചെയ്യുന്നതിനായി മറ്റൊരു സ്കീം ഉപയോഗിക്കുകയാണെങ്കിൽപ്പോലും, ഫാക്ടറി അടയാളപ്പെടുത്തലുകൾ മായ്‌ക്കാതിരിക്കാൻ അദ്ദേഹം താൽപ്പര്യപ്പെടുന്നു.

1.6 മോശം ബ്ലോക്ക് മാനേജ്മെൻ്റ്

ഡെവലപ്പർമാർ NANDമൈക്രോ സർക്യൂട്ടുകൾ ഇനിപ്പറയുന്ന മോശം ബ്ലോക്ക് നിയന്ത്രണ സ്കീമുകൾ ഉപയോഗിക്കാൻ നിർദ്ദേശിക്കുന്നു:

  • കടന്നുപോകുകമോശം ബ്ലോക്കുകൾ
  • ഉപയോഗം മിച്ചംപ്രദേശം

കൂടാതെ, മോശം ബ്ലോക്കുകൾ കൈകാര്യം ചെയ്യുന്നതിനുള്ള രീതികൾ ചിലപ്പോൾ ഉപയോഗിക്കുന്നത് ഉൾപ്പെടുന്നു തെറ്റ് തിരുത്തൽ(ഇസിസി). ഒരൊറ്റ പിശക് തിരുത്തലിൻ്റെ ഉപയോഗം ഒന്നിലധികം പിശകുകൾ ഇല്ലാതാക്കില്ല എന്നതും മുകളിലുള്ള സ്കീമുകളിലൊന്ന് ഉപയോഗിക്കാൻ നിങ്ങളെ പ്രേരിപ്പിക്കുന്നു എന്നതും ശ്രദ്ധിക്കേണ്ടതാണ്. ഇതുകൂടാതെ, ഭൂരിപക്ഷം NANDചിപ്‌സിന് ഒരു ഉറപ്പുള്ള തെറ്റ് രഹിത പ്രദേശമുണ്ട്, അതിൽ മോശം ബ്ലോക്കുകൾ ദൃശ്യമാകില്ല. പരാജയമില്ലാത്ത പ്രദേശം സാധാരണയായി ചിപ്പിൻ്റെ തുടക്കത്തിലാണ് സ്ഥിതി ചെയ്യുന്നത്.

മോശം ബ്ലോക്കുകൾ കൈകാര്യം ചെയ്യുന്നതിനുള്ള ഈ രീതികൾ നിർമ്മാതാക്കളുടെ സാങ്കേതിക ഡോക്യുമെൻ്റേഷനിൽ നന്നായി വിവരിച്ചിരിക്കുന്നു NANDഉപയോഗത്തെക്കുറിച്ചുള്ള സാഹിത്യത്തിൽ വ്യാപകമായി ചർച്ച ചെയ്യപ്പെടുന്നു NAND. എന്നിരുന്നാലും, നമുക്ക് അവയുടെ സാരാംശം സംക്ഷിപ്തമായി ഓർമ്മിക്കാം:

മോശം ബ്ലോക്കുകൾ ഒഴിവാക്കുക:
നിലവിലെ ബ്ലോക്ക് തെറ്റാണെന്ന് തെളിഞ്ഞാൽ, അത് ഒഴിവാക്കുകയും അടുത്ത ഫ്രീ ബ്ലോക്കിലേക്ക് വിവരങ്ങൾ എഴുതുകയും ചെയ്യും. ഈ സ്കീം സാർവത്രികമാണ്, നടപ്പിലാക്കാൻ എളുപ്പമാണ്, എന്നാൽ ഓപ്പറേഷൻ സമയത്ത് മോശം ബ്ലോക്കുകൾ പ്രത്യക്ഷപ്പെടുന്ന സന്ദർഭങ്ങളിൽ ഇത് കുറച്ച് പ്രശ്നമാണ്. ഈ സ്കീം പൂർണ്ണമായി പ്രവർത്തിക്കുന്നതിന്, ലോജിക്കൽ ബ്ലോക്ക് നമ്പർ ബ്ലോക്കിനുള്ളിൽ സംഭരിച്ചിരിക്കണം (ഒരു സ്പെയർ ഏരിയ നൽകുന്നതിനുള്ള സാംസങ് സ്റ്റാൻഡേർഡ്, വാസ്തവത്തിൽ ഇത് അനുമാനിക്കുന്നു). ഈ സ്കീം അനുസരിച്ച് പ്രവർത്തിക്കുമ്പോൾ, ലോജിക്കൽ ബ്ലോക്ക് നമ്പറുകളും അവയുടെ ഫിസിക്കൽ നമ്പറുകളും തമ്മിലുള്ള കത്തിടപാടുകളുടെ ഒരു പട്ടിക കൺട്രോളർ എവിടെയെങ്കിലും സൂക്ഷിക്കണം, അല്ലാത്തപക്ഷം മെമ്മറി ആക്സസ് വളരെ മന്ദഗതിയിലാകും.

അതിനാൽ, ലോജിക്കൽ വികസനം പദ്ധതിയാണ് സ്പെയർ ഏരിയയുടെ ഉപയോഗം:
ഈ രീതി അനുസരിച്ച്, മുഴുവൻ മെമ്മറി വോളിയവും രണ്ട് ഭാഗങ്ങളായി തിരിച്ചിരിക്കുന്നു: പ്രധാനവും ബാക്കപ്പും. പ്രധാന മെമ്മറിയിൽ ഒരു മോശം ബ്ലോക്ക് ദൃശ്യമാകുമ്പോൾ, അത് സ്പെയർ മെമ്മറിയിൽ നിന്നുള്ള ഒരു ബ്ലോക്ക് ഉപയോഗിച്ച് മാറ്റിസ്ഥാപിക്കുന്നു, കൂടാതെ ബ്ലോക്ക് റീസൈൻമെൻ്റ് ടേബിളിൽ അനുബന്ധമായ ഒരു എൻട്രി ഉണ്ടാക്കുന്നു. റീ അസൈൻമെൻ്റ് ടേബിൾ ഒന്നുകിൽ ഗ്യാരണ്ടീഡ് ഫെയിൽ-സേഫ് ബ്ലോക്കിലോ ഒന്നിലധികം പകർപ്പുകളിലോ സംഭരിച്ചിരിക്കുന്നു. പട്ടികയുടെ ഫോർമാറ്റ് വ്യത്യസ്തമാണ്, അത് വ്യത്യസ്ത സ്ഥലങ്ങളിൽ സംഭരിച്ചിരിക്കുന്നു. വീണ്ടും, സാംസങ് പട്ടികയുടെ ഫോർമാറ്റിനും ലേഔട്ടിനുമുള്ള ഒരു സ്റ്റാൻഡേർഡ് വിവരിക്കുന്നു, എന്നാൽ കുറച്ച് ആളുകൾ അത് പിന്തുടരുന്നു.

2. പ്രാക്ടീസ്

2.1 NAND ചിപ്പിൻ്റെ മോശം ബ്ലോക്കുകൾ സ്കാൻ ചെയ്യുന്നു

പ്രോഗ്രാമർ ചിപ്സ്റ്റാർമൈക്രോ സർക്യൂട്ട് വേഗത്തിൽ സ്കാൻ ചെയ്യാൻ നിങ്ങളെ അനുവദിക്കുന്നു NANDമോശം ബ്ലോക്കുകളുടെ ഫാക്ടറി അടയാളപ്പെടുത്തലുകൾക്ക് അനുസൃതമായി മോശം ബ്ലോക്കുകളുടെ സാന്നിധ്യത്തിനായി.

മെനു ഇനം തിരഞ്ഞെടുക്കുക " ചിപ്പ്|മോശം ബ്ലോക്കുകൾക്കായി നോക്കുക ", ചീപ്പ് ബ്ലോക്കുകൾക്കായി ചിപ്പ് പരിശോധിക്കും. ഫലം പട്ടിക രൂപത്തിൽ കാണിച്ചിരിക്കുന്നു.

നിങ്ങൾക്ക് മോശം ബ്ലോക്കുകളുടെ ലിസ്റ്റ് കാണണമെങ്കിൽ മാത്രം ഈ പ്രവർത്തനം ആവശ്യമാണ്. മറ്റെല്ലാ സാഹചര്യങ്ങളിലും, ആവശ്യമുള്ളപ്പോൾ മോശം ബ്ലോക്കുകൾക്കായുള്ള തിരയൽ സ്വയമേവ നടത്തപ്പെടുന്നു.

2.2 NAND ഇമേജിലെ മോശം ബ്ലോക്കുകൾ

NAND ചിപ്പിൻ്റെ ഒരു ചിത്രം വായിക്കുമ്പോൾ, പ്രോഗ്രാമർ ചിപ്പിൻ്റെ പേജിനെയും ബ്ലോക്ക് വലുപ്പത്തെയും കുറിച്ചുള്ള വിവരങ്ങൾ അധികമായി സംഭരിക്കുന്നു. വിവരങ്ങൾ ഒരു പ്രത്യേക ഫയലിൽ സൂക്ഷിക്കുന്നു. അതിനാൽ നിങ്ങൾ ചിപ്പ് ഇമേജ് ഒരു ഫയലിൽ എണ്ണി സേവ് ചെയ്താൽ <имя_файла>.nbin പ്രോഗ്രാം മറ്റൊരു ഫയൽ സൃഷ്ടിക്കും: <имя_файла>.cfs . ഒരു ഫയൽ തുറക്കുമ്പോൾ <имя_файла>.nbin ഫയൽ <имя_файла>.cfs അതേ രീതിയിൽ വായിക്കും. ഫയലിൽ <имя_файла>.cfs ചിപ്പിൻ്റെ പേജിനെയും ബ്ലോക്ക് വലുപ്പത്തെയും കുറിച്ചുള്ള വിവരങ്ങൾ രേഖപ്പെടുത്തിയിട്ടുണ്ട്. ചിപ്പ് വായിച്ചതിനുശേഷം അല്ലെങ്കിൽ ഒരു ഫയൽ തുറന്നതിന് ശേഷം .nbin , പേജിനെയും ബ്ലോക്ക് വലുപ്പത്തെയും കുറിച്ചുള്ള വിവരങ്ങളെ അടിസ്ഥാനമാക്കി മോശം ബ്ലോക്കുകളുടെ സാന്നിധ്യത്തിനായി ചിത്രത്തിൻ്റെ പശ്ചാത്തല സ്കാൻ നടത്തുന്നു.

ഓപ്ഷനുകൾ NANDമോശം ബ്ലോക്കുകളെക്കുറിച്ചുള്ള വിവരങ്ങൾ "ടാബിൽ" കണ്ടെത്താനാകും NAND"പ്രോഗ്രാമർ എഡിറ്റർ:

ബൈനറി ചിത്രം NAND"ടാബിൽ" കാണാൻ കഴിയും പ്രധാന മെമ്മറി ":

എഡിറ്റർ മോഡിൽ NANDപേജിൻ്റെ സ്പെയർ ഏരിയ അനുവദിച്ചിരിക്കുന്നു മങ്ങിയ നിറം, പേജുകൾ, ബ്ലോക്കുകൾ എന്നിവയിലൂടെ നീങ്ങുന്നതിനുള്ള ബട്ടണുകളും നിലവിലെ പേജിൻ്റെ സ്പെയർ ഏരിയയുടെ തുടക്കത്തിലേക്ക് വേഗത്തിൽ ചാടുന്നതും ലഭ്യമാണ്. കഴ്‌സർ വിലാസത്തിന് പുറമേ, എഡിറ്റർ സ്റ്റാറ്റസ് ലൈൻ അധികമായി പ്രദർശിപ്പിക്കുന്നു പേജ് നമ്പർഒപ്പം ബ്ലോക്ക് നമ്പർകഴ്സർ എവിടെയാണ് സ്ഥിതി ചെയ്യുന്നത്. മൈക്രോ സർക്യൂട്ടിൻ്റെ ഉള്ളടക്കങ്ങൾ കൂടുതൽ സൗകര്യപ്രദമായി കാണാൻ ഇതെല്ലാം നിങ്ങളെ അനുവദിക്കുന്നു.

2.3. NAND മായ്‌ക്കുന്നു

ഡിഫോൾട്ട് പ്രോഗ്രാമർ മായ്ക്കുന്നില്ലമോശം ബ്ലോക്കുകൾ, പക്ഷേ നിങ്ങൾ ഓപ്ഷൻ അപ്രാപ്തമാക്കുകയാണെങ്കിൽ " മോശം ബ്ലോക്കുകൾ പരിശോധിക്കുകയും ഒഴിവാക്കുകയും ചെയ്യുന്നു "മോശമായ ബ്ലോക്കുകൾ മായ്‌ക്കപ്പെടുകയും മോശം ബ്ലോക്ക് അടയാളപ്പെടുത്തലുകൾ നഷ്‌ടപ്പെടുകയും ചെയ്‌തേക്കാം. ആവശ്യമെങ്കിൽ മാത്രം ഈ ഓപ്‌ഷൻ പ്രവർത്തനരഹിതമാക്കുക.

ഫാക്ടറി അടയാളപ്പെടുത്തലുകൾക്ക് അനുസൃതമായി അടയാളപ്പെടുത്തിയ മോശം ബ്ലോക്കുകൾ മാത്രമേ ഒഴിവാക്കുകയുള്ളൂ. മോശം ബ്ലോക്കുകൾക്കായി ഉപകരണം മറ്റൊരു അടയാളപ്പെടുത്തൽ ഉപയോഗിക്കുന്നുവെങ്കിൽ, പ്രോഗ്രാമർ സോഫ്‌റ്റ്‌വെയർ അവ കാണാത്തതിനാൽ അവ മായ്‌ക്കപ്പെടും. മോശം ബ്ലോക്കുകളുടെ നിലവാരമില്ലാത്ത അടയാളങ്ങൾ ഉപയോഗിച്ച് പ്രവർത്തിക്കാൻ, പ്രോഗ്രാമർക്ക് ബാഹ്യ പ്ലഗിനുകൾ ഉപയോഗിക്കാം.

2.4 റെക്കോർഡിംഗിൻ്റെ അഭാവത്തിനായി മൈക്രോ സർക്യൂട്ട് പരിശോധിക്കുന്നു

സ്ഥിരസ്ഥിതിയായി, പരിശോധിക്കുമ്പോൾ പ്രോഗ്രാമർ എല്ലാ മോശം ബ്ലോക്കുകളും അവഗണിക്കുന്നു, എന്നാൽ നിങ്ങൾ ഓപ്ഷൻ അപ്രാപ്തമാക്കുകയാണെങ്കിൽ " മോശം ബ്ലോക്കുകൾ സ്കാൻ ചെയ്ത് ഒഴിവാക്കുക "മോശം ബ്ലോക്കുകൾ പരീക്ഷിക്കപ്പെടും, അത് സ്വാഭാവികമായും ടെസ്റ്റിംഗ് പിശകുകളിലേക്ക് നയിക്കും.

2.5 പൂർത്തിയായ ചിത്രം ചിപ്പിലേക്ക് എഴുതുന്നു

ഒരു ചിത്രം കത്തിക്കുന്നു NANDമൈക്രോ സർക്യൂട്ടിൽ പരമ്പരാഗതമായതിൽ നിന്ന് അല്പം വ്യത്യസ്തമാണ് ഫ്ലാഷ്മൈക്രോ സർക്യൂട്ടുകൾ ഒന്നാമതായി, അവ പൊരുത്തപ്പെടണം പേജ് വലുപ്പങ്ങൾചിത്രവും ടാർഗെറ്റ് ചിപ്പും. നിയന്ത്രണം ഉപയോഗിക്കുകയാണെങ്കിൽ മോശം ബ്ലോക്കുകൾ പൊരുത്തപ്പെടണം ബ്ലോക്ക് വലുപ്പങ്ങൾചിത്രവും മൈക്രോ സർക്യൂട്ടും.

എല്ലാ പ്രോഗ്രാമർമാർക്കുമുള്ള സോഫ്റ്റ്‌വെയർ ചിപ്സ്റ്റാർപിന്തുണയ്ക്കുന്നു മോശം ബ്ലോക്കുകൾ കൈകാര്യം ചെയ്യുന്നതിനുള്ള മൂന്ന് രീതികൾബിൽറ്റ്-ഇൻ ടൂളുകളും പ്ലഗിനുകൾ ഉപയോഗിക്കുന്ന ഒരു പരിധിയില്ലാത്ത സംഖ്യയും. കൂടാതെ, ചിപ്പിൻ്റെ തുടക്കത്തിൽ നിങ്ങൾക്ക് എഴുതാവുന്ന ബ്ലോക്കുകളുടെ എണ്ണം സജ്ജമാക്കാൻ കഴിയും, അത് യഥാർത്ഥമാണ് നാലാമത്തെമോശം ബ്ലോക്കുകൾ കൈകാര്യം ചെയ്യാനുള്ള വഴി.

രീതി 1: മോശം ബ്ലോക്കുകൾ അവഗണിക്കൽ

ലളിതമായി പകർത്തൽ, മോശം ബ്ലോക്കുകൾ അവഗണിക്കൽ (മോശം ബ്ലോക്കുകൾ സാധാരണ എഴുതുന്നത് പോലെ തന്നെ എഴുതുന്നു).

യഥാർത്ഥ ചിത്രം ചിപ്പ്
(പ്രാരംഭ അവസ്ഥ)
ചിപ്പ്
(ഫലമായി)
ബ്ലോക്ക് 0
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 0
നല്ലത്
ബ്ലോക്ക് 1
മോശം
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 1
തെറ്റായ
ബ്ലോക്ക് 2
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 2
നല്ലത്
ബ്ലോക്ക് 3
നല്ലത്
തടയുക
മോശം
ബ്ലോക്ക് 3
തെറ്റായ
ബ്ലോക്ക് 4
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 4
നല്ലത്
റെക്കോർഡ് അതിർത്തി
ബ്ലോക്ക് 5
നല്ലത്
തടയുക
ശുദ്ധമായ
തടയുക
ശുദ്ധമായ

ഏറ്റവും അനുയോജ്യം NAND ചിപ്പുകൾ പകർത്തുന്നതിന്, അതിൻ്റെ ആന്തരിക ഘടന പരിശോധിക്കാതെ, ചിപ്പ് എഴുതുന്നത് നൽകിയിട്ടുണ്ട് മോശം ബ്ലോക്കുകൾ അടങ്ങിയിട്ടില്ല . യഥാർത്ഥ ചിത്രത്തിലാണെങ്കിൽ മോശം ബ്ലോക്കുകൾ ഉണ്ടായിരുന്നു , ഒടുവിൽ രൂപം തെറ്റായ മോശം ബ്ലോക്കുകൾ . തെറ്റായ മോശം ബ്ലോക്കുകളുടെ രൂപം ഉപകരണത്തിൻ്റെ പ്രവർത്തനത്തെ ബാധിക്കില്ല. എന്നിരുന്നാലും, ചിപ്പിൽ ഇതിനകം മോശം ബ്ലോക്കുകൾ അടങ്ങിയിട്ടുണ്ടെങ്കിൽ, നിങ്ങൾ അത്തരമൊരു ചിപ്പിലേക്ക് എഴുതാൻ ശ്രമിക്കുമ്പോൾ, മോശം ബ്ലോക്കുകൾ പ്രവചനാതീതമായ പ്രത്യാഘാതങ്ങളോടെ ദൃശ്യമാകും. നുറുങ്ങ്: നിങ്ങൾക്ക് ചീത്ത ബ്ലോക്കുകൾ ഉൾപ്പെടെ മുഴുവൻ ചിപ്പും മായ്‌ക്കാൻ ശ്രമിക്കാം, തുടർന്ന് അത് പകർത്തുക. ഒരു മോശം ബ്ലോക്കിലേക്ക് എഴുതുന്നത് വിജയകരമായി പൂർത്തിയാക്കിയാൽ (ഇത് പലപ്പോഴും സംഭവിക്കാറുണ്ട്), നിങ്ങളുടെ ഉപകരണം ശരിയായി പ്രവർത്തിക്കും; ഭാവിയിൽ, ഉപകരണ സോഫ്റ്റ്‌വെയർ മോശം ബ്ലോക്ക് തിരിച്ചറിയുകയും അതിൻ്റെ പ്രവർത്തന അൽഗോരിതം അനുസരിച്ച് നല്ല ഒന്ന് ഉപയോഗിച്ച് മാറ്റിസ്ഥാപിക്കുകയും ചെയ്യും.

രീതി 2: മോശം ബ്ലോക്കുകൾ ബൈപാസ് ചെയ്യുക

യഥാർത്ഥ ചിത്രം ചിപ്പ്
(പ്രാരംഭ അവസ്ഥ)
ചിപ്പ്
(ഫലമായി)
ബ്ലോക്ക് 0
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 0
നല്ലത്
ബ്ലോക്ക് 1
മോശം
തടയുക
ശുദ്ധമായ
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 2
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 2
നല്ലത്
ബ്ലോക്ക് 3
നല്ലത്
തടയുക
മോശം
തടയുക
മോശം
ബ്ലോക്ക് 4
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 4
നല്ലത്
റെക്കോർഡ് അതിർത്തി
ബ്ലോക്ക് 5
നല്ലത്
തടയുക
ശുദ്ധമായ
തടയുക
ശുദ്ധമായ

മോശം ബ്ലോക്കുകൾ മറികടക്കുമ്പോൾ ഉറവിട ഇമേജിൽ നിന്നുള്ള മോശം ബ്ലോക്കുകൾ എഴുതിയിട്ടില്ലഒപ്പം മോശം ചിപ്പ് ബ്ലോക്കുകളിലേക്ക് വിവരങ്ങൾ എഴുതിയിട്ടില്ല. ഇത് മികച്ച പകർപ്പ് നയമല്ല, പക്ഷേ മോശം ചിപ്പ് ബ്ലോക്കുകളിൽ നിന്ന് ഇത് സുരക്ഷിതമാണ്: ഒരു വിവരവും നഷ്ടപ്പെട്ടിട്ടില്ലമോശം ചിപ്പ് ബ്ലോക്കുകളെക്കുറിച്ചും തെറ്റായ മോശം ബ്ലോക്കുകൾ ദൃശ്യമാകില്ല. ചില സാഹചര്യങ്ങളിൽ, അത്തരം ഒരു പകർപ്പ് നയം ഒരു അജ്ഞാത ഉപകരണത്തിൻ്റെ പ്രവർത്തനം പുനഃസ്ഥാപിക്കാൻ സഹായിക്കും.

രീതി 3: മോശം ബ്ലോക്കുകൾ ഒഴിവാക്കുക

യഥാർത്ഥ ചിത്രം ചിപ്പ്
(പ്രാരംഭ അവസ്ഥ)
ചിപ്പ്
(ഫലമായി)
ബ്ലോക്ക് 0
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 0
നല്ലത്
ബ്ലോക്ക് 1
മോശം

തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 2
നല്ലത്
ബ്ലോക്ക് 2
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 3
നല്ലത്
ബ്ലോക്ക് 3
നല്ലത്
തടയുക
മോശം
തടയുക
മോശം
ബ്ലോക്ക് 4
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 4
നല്ലത്
റെക്കോർഡ് അതിർത്തി
ബ്ലോക്ക് 5
നല്ലത്
തടയുക
ശുദ്ധമായ
തടയുക
ശുദ്ധമായ

മോശം ബ്ലോക്കുകൾ ഒഴിവാക്കിക്കൊണ്ട് എഴുതുകഉപകരണം കൃത്യമായി ഈ മോശം ബ്ലോക്ക് മാനേജ്മെൻ്റ് അൽഗോരിതം ഉപയോഗിക്കുന്നു, അല്ലാതെ മറ്റൊന്നുമല്ല. ഈ വ്യവസ്ഥകളിൽ, വിവരങ്ങളുടെ ശരിയായ പകർപ്പ് ഉറപ്പുനൽകുന്നു.

രീതി 4: ഗ്യാരണ്ടീഡ് പരാജയം ഇല്ലാത്ത ഏരിയ മാത്രം എഴുതുക

യഥാർത്ഥ ചിത്രം ചിപ്പ്
(പ്രാരംഭ അവസ്ഥ)
ചിപ്പ്
(ഫലമായി)
ബ്ലോക്ക് 0
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 0
നല്ലത്
ബ്ലോക്ക് 2
നല്ലത്
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 1
നല്ലത്
റെക്കോർഡ് അതിർത്തി
തടയുക
മോശം
തടയുക
ശുദ്ധമായ
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 3
നല്ലത്
തടയുക
മോശം
തടയുക
മോശം
ബ്ലോക്ക് 4
നല്ലത്
തടയുക
ശുദ്ധമായ
തടയുക
ശുദ്ധമായ
ബ്ലോക്ക് 5
നല്ലത്

തടയുക
ശുദ്ധമായ

തടയുക
ശുദ്ധമായ

ഏറ്റവും ആധുനികമായതിൽ NANDമൈക്രോ സർക്യൂട്ടുകൾ, ആദ്യ ബ്ലോക്കുകൾ (കുറഞ്ഞത് ഒരെണ്ണമെങ്കിലും) പരാജയങ്ങളില്ലെന്ന് ഉറപ്പുനൽകുന്നു. പല ഉപകരണങ്ങളിലും, ചിപ്പിൻ്റെ തുടക്കത്തിൽ ബൂട്ട്ലോഡറിനും ഉപകരണത്തിൻ്റെ ഓപ്പറേറ്റിംഗ് സിസ്റ്റത്തിനുമുള്ള കോഡ് ഉണ്ട്. ഈ മേഖലകൾ മാത്രം പകർത്തിയാൽ മതിയാകും.

റെക്കോർഡിംഗ് മോഡ് ക്രമീകരണ ഡയലോഗിൽ, ബ്ലോക്കുകളിൽ റെക്കോർഡിംഗ് വലുപ്പം വ്യക്തമാക്കുക.

മോശം ബ്ലോക്കുകൾ കൈകാര്യം ചെയ്യുന്നതിനുള്ള മറ്റ് വഴികൾ

സോഫ്റ്റ്വെയർ ചിപ്സ്റ്റാർ പ്രോഗ്രാമർമാർഏതെങ്കിലും മോശം ബ്ലോക്ക് മാനേജ്മെൻ്റ് അൽഗോരിതം പിന്തുണയ്ക്കുന്നു NANDബാഹ്യ പ്ലഗിനുകൾ ഉപയോഗിക്കുന്നു. നിങ്ങൾ പ്ലഗിനുകൾ ഇൻസ്റ്റാൾ ചെയ്തിട്ടുണ്ടെങ്കിൽ, അധിക രീതികളുടെ വിവരണങ്ങൾ ലിസ്റ്റിൽ ദൃശ്യമാകും " മോശം NAND ബ്ലോക്കുകൾ കൈകാര്യം ചെയ്യുന്നു ". നിങ്ങൾക്ക് "ബട്ടണിൽ ക്ലിക്കുചെയ്ത് തിരഞ്ഞെടുത്ത രീതിയുടെ പാരാമീറ്ററുകൾ ക്രമീകരിക്കാം ബാഹ്യ പ്ലഗിൻ ".

പിശക് തിരുത്തൽ കോഡുകൾ (ഇസിസി) ഉപയോഗിക്കുന്നു

പിശക് തിരുത്തൽ കോഡുകളുടെ ഉപയോഗം അനുവദിക്കുന്നു ഒറ്റ പിശകുകൾ വീണ്ടെടുക്കുക NAND പേജിൽ.

സെക്ടറിലെ ഒറ്റ പിശകുകൾ വീണ്ടെടുക്കാൻ വിവിധ അൽഗോരിതങ്ങൾ ഉപയോഗിക്കാം. അൽഗോരിതം അനുസരിച്ച് ഇ.സി.സി, ഓരോ സെക്ടറിലും വ്യത്യസ്തമായ പിശകുകൾ (512+16 ബൈറ്റുകൾ) വീണ്ടെടുക്കാൻ കഴിയും. പദത്തിന് കീഴിൽ " സിംഗിൾ "മനസ്സിലായി ഒരു ബിറ്റിൽ മാത്രം പിശക്ഡാറ്റ. 512+16 ബൈറ്റുകളുടെ പേജ് വലുപ്പമുള്ള NAND-ന്, ആശയം " മേഖല" ഒപ്പം " പേജ്" താരതമ്യം. വലിയ പേജ് വലുപ്പമുള്ള NAND-നായി, ചിപ്‌സ്റ്റാർ പ്രോഗ്രാമർ വിവരിച്ചിരിക്കുന്നതുപോലെ ഒരു സെക്ടർ പേജ് ലേഔട്ട് സ്കീം ഉപയോഗിക്കുന്നു. റെക്കോർഡിംഗ് അല്ലെങ്കിൽ വെരിഫിക്കേഷൻ ക്രമീകരണങ്ങളിൽ, നിങ്ങളുടെ ഉപകരണത്തിൽ ഉപയോഗിക്കുന്ന അൽഗോരിതം ഓരോ സെക്ടറിലും എത്ര പിശകുകൾ ശരിയാക്കുമെന്ന് നിങ്ങൾക്ക് വ്യക്തമാക്കാം. അതനുസരിച്ച്, സ്വീകാര്യമായ എണ്ണം പിശകുകളുള്ള മൈക്രോ സർക്യൂട്ടുകൾ നിരസിക്കില്ല; തിരുത്താവുന്ന പിശകുകളുടെ എണ്ണത്തെക്കുറിച്ചുള്ള വിവരങ്ങൾ സ്ഥിതിവിവരക്കണക്കുകൾ വിൻഡോയിൽ പ്രദർശിപ്പിക്കും:

ഓരോ പ്രത്യേക ചിപ്പിനും ഓരോ സെക്ടറിലും അനുവദനീയമായ പിശകുകളുടെ എണ്ണത്തെക്കുറിച്ചുള്ള വിവരങ്ങൾ കണ്ടെത്താനാകും പ്രമാണീകരണംഓരോ ചിപ്പിനും. അനുവദനീയമായ പിശകുകളുടെ എണ്ണം കണക്കിലെടുത്ത്, പുതുതായി ചേർത്ത എല്ലാ NAND ചിപ്പുകളും പ്രോഗ്രാമർ ഡാറ്റാബേസിലേക്ക് പ്രവേശിക്കുന്നു.

സ്വതന്ത്രമായി ചേർക്കുമ്പോൾമൈക്രോ സർക്യൂട്ടുകൾ:

  • എങ്കിൽ ONFI പിന്തുണച്ചു, തുടർന്ന് ഓരോ മേഖലയിലും അനുവദനീയമായ പിശകുകളുടെ എണ്ണം വായിച്ചുമൈക്രോ സർക്യൂട്ട് പാരാമീറ്റർ പട്ടികയിൽ നിന്നും ഇൻസ്റ്റാൾ ചെയ്തിട്ടുണ്ട്ആവശ്യമുള്ള മൂല്യത്തിലേക്ക്.
  • മൈക്രോ സർക്യൂട്ട് ആണെങ്കിൽ ONFI-യെ പിന്തുണയ്ക്കുന്നില്ല, ഉപയോക്താവ് മൂല്യം സ്വയം സജ്ജമാക്കണം, ചിപ്പിനുള്ള ഡോക്യുമെൻ്റേഷൻ ഉപയോഗിക്കുന്നു.

പുതിയ മൈക്രോ സർക്യൂട്ടുകൾക്കായി NANDഉത്പാദനം സാംസങ്ഓരോ സെക്ടറിലെയും അനുവദനീയമായ പിശകുകളുടെ മൂല്യം ചിപ്പ് ഐഡൻ്റിഫയറിൻ്റെ ഭാഗമായി എൻകോഡ് ചെയ്തിരിക്കുന്നു. അതിനാൽ, അത്തരം മൈക്രോ സർക്യൂട്ടുകൾക്ക് ഓരോ സെക്ടറിലും അനുവദനീയമായ പിശകുകളുടെ എണ്ണം ശരിയായി സജ്ജീകരിക്കും.

ഒരു മൈക്രോ സർക്യൂട്ടിൻ്റെ ഉള്ളടക്കം കൂടുതൽ സംരക്ഷിക്കുന്നതിനോ പകർത്തുന്നതിനോ വേണ്ടി വായിക്കുമ്പോൾ, ഒരൊറ്റ പിശകുകൾ വിശ്വസനീയമായി കണ്ടെത്താൻ കഴിയില്ല. ഒരു ബാഹ്യ ആപ്ലിക്കേഷൻ മുഖേന ECC ചെക്ക് കോഡുകൾ കംപ്യൂട്ടുചെയ്യുന്നതിലൂടെ തത്ഫലമായുണ്ടാകുന്ന ഇമേജ് പ്രത്യേകം പിശക് വിശകലനം ചെയ്യാവുന്നതാണ്. ഉപയോഗിച്ച അൽഗോരിതവും പേജ് ലേഔട്ടും അറിയാം .

ചിപ്‌സ്റ്റാർ പ്രോഗ്രാമർ സോഫ്റ്റ്‌വെയർ ഒറ്റ പിശകുകൾ തിരിച്ചറിയുന്നതിനും ഇല്ലാതാക്കുന്നതിനുമുള്ള ഒരു പരോക്ഷ സ്ഥിതിവിവരക്കണക്ക് രീതി വാഗ്ദാനം ചെയ്യുന്നു. രീതി തിരിച്ചറിയാൻ മാത്രം അനുവദിക്കുന്നു അസ്ഥിരമായകൂടെ പിശകുകൾ ഉറപ്പില്ലവിശ്വാസ്യത. പിശക് കണ്ടെത്തൽ ഉപയോഗിച്ച് വായന നടത്താൻ, നിങ്ങൾ തിരഞ്ഞെടുക്കേണ്ടതുണ്ട് " തിരഞ്ഞെടുത്ത വായന" കൂടാതെ "NAND" ടാബിൽ, ബോക്സ് ചെക്കുചെയ്യുക " പിശക് തിരുത്തൽ മോഡ് പ്രവർത്തനക്ഷമമാക്കുക"

താരതമ്യത്തിനായി റീഡ് റിട്രീകളുടെ എണ്ണവും ഒരു പിശക് സംഭവിക്കുമ്പോൾ റീഡ് റിട്രീകളുടെ ആകെ എണ്ണവും നിങ്ങൾക്ക് കോൺഫിഗർ ചെയ്യാം. ഈ രീതി ഉപയോഗിക്കുന്നത് വായനാ പ്രക്രിയയെ മന്ദഗതിയിലാക്കുന്നു എന്നത് ഓർമിക്കേണ്ടതാണ്.

സ്റ്റാറ്റിസ്റ്റിക്കൽ പിശക് കണ്ടെത്തൽ അൽഗോരിതം ഇനിപ്പറയുന്ന രീതിയിൽ പ്രവർത്തിക്കുന്നു:

  1. NAND പേജ് തുടർച്ചയായി നിരവധി തവണ വായിക്കുന്നു (കുറഞ്ഞത് മൂന്ന്).
  2. റീഡ് ഡാറ്റ ബൈറ്റ് ബൈറ്റ് താരതമ്യം ചെയ്യുന്നു.
  3. താരതമ്യ പിശകുകളൊന്നും കണ്ടെത്തിയില്ലെങ്കിൽ, പേജ് പിശകുകളില്ലാത്തതാണെന്ന് അനുമാനിക്കപ്പെടുന്നു.
  4. താരതമ്യ സമയത്ത് പിശകുകൾ കണ്ടെത്തിയാൽ, പേജ് നിരവധി തവണ വായിക്കും.
  5. ഓരോ പിശകിനും, വായനകളുടെ എണ്ണം കണക്കാക്കുന്നു. യൂണിറ്റുകൾഒപ്പം പൂജ്യങ്ങൾ.
  6. ശരിയായ മൂല്യം ("0" അല്ലെങ്കിൽ "1") കൂടുതൽ ഉള്ള ഒന്നായി കണക്കാക്കപ്പെടുന്നു.

മൈക്രോ സർക്യൂട്ടിൻ്റെ ഒരു പ്രത്യേക ബിറ്റിലെ പിശകിൻ്റെ സംഭാവ്യത 0.5 ൽ കുറവാണെങ്കിൽ അൽഗോരിതം നന്നായി പ്രവർത്തിക്കുന്നു. ഒരു മൈക്രോ സർക്യൂട്ട് വായിക്കുമ്പോൾ, "തിരുത്തപ്പെട്ട" പിശകുകളും ശരിയായ വായനയുടെ സാധ്യതയും കണക്കാക്കുന്നു.

2.6 ഒരു ബൈനറി ഇമേജ് ഒരു NAND ഇമേജിലേക്ക് പരിവർത്തനം ചെയ്യുന്നു

മുകളിൽ വിവരിച്ചതെല്ലാം പകർത്തുന്നതിനെക്കുറിച്ചായിരുന്നു NANDമൈക്രോ സർക്യൂട്ട് മോഡലിനെ അടിസ്ഥാനമാക്കിയുള്ള റെക്കോർഡിംഗുകളും, പക്ഷേ അത് പലപ്പോഴും ആവശ്യമാണ് പ്രോഗ്രാമിൻ്റെ യഥാർത്ഥ ബൈനറി ഇമേജ് ഒരു ക്ലീൻ ചിപ്പിലേക്ക് എഴുതുക. എഴുതുന്നതിന് മുമ്പ്, ഓരോ പേജിലും ചേർത്തുകൊണ്ട് നിങ്ങൾ ബൈനറി ഇമേജ് ഒരു NAND ചിത്രമാക്കി മാറ്റേണ്ടതുണ്ട് സ്പെയർ ഏരിയഅത് ശരിയായി പൂരിപ്പിക്കുകയും ചെയ്യുന്നു. ഇത് ചെയ്യുന്നതിന്, നിങ്ങളുടെ ബൈനറി ഫയൽ തുറക്കുക, മെനു ഇനം തിരഞ്ഞെടുക്കുക " ". ഒരു ഡയലോഗ് ദൃശ്യമാകും:

NAND പരിവർത്തന മോഡ് സജ്ജമാക്കുക: " ബൈനറി ചിത്രം... ", പേജും NAND ബ്ലോക്ക് വലുപ്പവും വ്യക്തമാക്കുക അല്ലെങ്കിൽ ആവശ്യമായ ചിപ്പ് തിരഞ്ഞെടുക്കുക. സ്പെയർ ഏരിയ ഫോർമാറ്റ് തിരഞ്ഞെടുക്കുക. പ്ലഗ്-ഇന്നുകൾ ഉപയോഗിച്ച് ബിൽറ്റ്-ഇൻ ടൂളുകളും മറ്റ് രീതികളും ഉപയോഗിച്ച് FF മൂല്യങ്ങൾ ഉപയോഗിച്ച് ഏരിയ ലളിതമായി പൂരിപ്പിക്കുന്നതിനെ പ്രോഗ്രാമർ പിന്തുണയ്ക്കുന്നു. A സാംസങ് ശുപാർശ ചെയ്യുന്ന സ്പെയർ ഏരിയ അസൈൻമെൻ്റുകൾ നടപ്പിലാക്കുന്ന പ്രോഗ്രാമറുമായി പ്ലഗ്-ഇൻ വിതരണം ചെയ്യുന്നു.

നിങ്ങൾക്ക് എന്തെങ്കിലും നടപ്പിലാക്കണമെങ്കിൽ വ്യത്യസ്ത വിതരണ ഓപ്ഷൻ - ഞങ്ങളെ അറിയിക്കുക, ഞങ്ങൾ ഉചിതമായ പ്ലഗിൻ തയ്യാറാക്കും, അല്ലെങ്കിൽ നിങ്ങൾക്ക് ആവശ്യമായ പ്ലഗിൻ സ്വയം നടപ്പിലാക്കാം.

2.7 മറ്റ് പ്രോഗ്രാമർമാർ വായിക്കുന്ന NAND ചിത്രങ്ങളുമായി പൊരുത്തപ്പെടുന്നു

താങ്കളുടെ കയ്യില് ഉണ്ടെങ്കില് NAND ചിത്രം, മറ്റൊരു പ്രോഗ്രാമർ വായിച്ചതോ മറ്റൊരു ഉറവിടത്തിൽ നിന്ന് ലഭിച്ചതോ ആയിരിക്കണം മാറ്റുകറെക്കോർഡിംഗിന് അനുയോജ്യമായ ഒരു ഫോർമാറ്റിലേക്ക് ചിപ്സ്റ്റാർ പ്രോഗ്രാമർ.

ഇത് ചെയ്യുന്നതിന്, ഈ ഘട്ടങ്ങൾ പാലിക്കുക:

  • നിങ്ങളുടെ ഫയൽ തുറക്കുക, മെനു ഇനം തിരഞ്ഞെടുക്കുക " എഡിറ്റ്|NAND എഡിറ്റർ മോഡ് ടോഗിൾ ചെയ്യുക ". മുകളിൽ കാണിച്ചിരിക്കുന്നതുപോലെ ഒരു ഡയലോഗ് ദൃശ്യമാകും.
  • ഫോർമാറ്റിലേക്ക് പരിവർത്തന മോഡ് സജ്ജമാക്കുക NAND: "ചിത്രം ഇതിനകം NAND ആണ്... ", സൂചിപ്പിക്കുക പേജ് വലിപ്പംഒപ്പം തടയുക NANDഅല്ലെങ്കിൽ ആവശ്യമായ ചിപ്പ് തിരഞ്ഞെടുക്കുക. ക്ലിക്ക് ചെയ്യുക" തുടരുക".
  • എഡിറ്ററിൽ ഒരു ടാബ് ദൃശ്യമാകും " NAND " കൂടാതെ ചിത്രം മോശം ബ്ലോക്കുകൾക്കായി സ്കാൻ ചെയ്യാൻ തുടങ്ങും.
  • തത്ഫലമായുണ്ടാകുന്ന ഫയൽ ഫോർമാറ്റിൽ സംരക്ഷിക്കാൻ കഴിയും NAND, ഫയലിന് വിപുലീകരണം ലഭിക്കും .nbin സ്ഥിരസ്ഥിതി.

സാറ്റലൈറ്റ് ട്യൂണർ പുനരുജ്ജീവിപ്പിക്കാൻ ആവശ്യമായ JTAG പ്രോഗ്രാമറുടെ നിർമ്മാണ പ്രക്രിയ കാണിക്കുന്ന ഒരു ഫോട്ടോ ഞാൻ എൻ്റെ ആർക്കൈവിൽ കണ്ടെത്തി. ഏത് തരത്തിലുള്ള "മൃഗം" JTAG ആണ് എന്നതിനെക്കുറിച്ച് ഇപ്പോൾ കുറച്ചുകൂടി വിശദമായി:

JTAG(ഇംഗ്ലീഷിൻ്റെ ചുരുക്കം) ജോയിൻ്റ് ടെസ്റ്റ് ആക്ഷൻ ഗ്രൂപ്പ്; ഉച്ചാരണം "jay-tag") എന്നത് IEEE 1149 സ്റ്റാൻഡേർഡിൻ്റെ വികസനത്തിനായുള്ള വർക്കിംഗ് ഗ്രൂപ്പിൻ്റെ പേരാണ്, പിന്നീട്, ഈ ചുരുക്കെഴുത്ത് IEEE 1149.1 നിലവാരത്തെ അടിസ്ഥാനമാക്കി ഈ ഗ്രൂപ്പ് വികസിപ്പിച്ച പ്രത്യേക ഹാർഡ്‌വെയർ ഇൻ്റർഫേസുമായി ദൃഢമായി ബന്ധപ്പെട്ടിരിക്കുന്നു. സ്റ്റാൻഡേർഡിൻ്റെ ഔദ്യോഗിക നാമം സ്റ്റാൻഡേർഡ് ടെസ്റ്റ് ആക്സസ് പോർട്ടും ബൗണ്ടറി-സ്കാൻ ആർക്കിടെക്ചറും. സങ്കീർണ്ണമായ ഡിജിറ്റൽ സർക്യൂട്ടുകളോ പിസിബി-ലെവൽ ഉപകരണങ്ങളോ സ്റ്റാൻഡേർഡ് ടെസ്റ്റ്, ഡീബഗ്ഗിംഗ് ഉപകരണങ്ങളുമായി ബന്ധിപ്പിക്കുന്നതിനാണ് ഇൻ്റർഫേസ് രൂപകൽപ്പന ചെയ്തിരിക്കുന്നത്. താൽപ്പര്യമുള്ളവർക്ക്, എന്നതിലെ മുഴുവൻ ലേഖനവും കാണുകവിക്കിപീഡിയ.

ഇപ്പോൾ നമുക്ക് ബിസിനസ്സിലേക്ക് മടങ്ങാം, എൻ്റെ സുഹൃത്തുക്കൾ എനിക്ക് ഒരു സാറ്റലൈറ്റ് ട്യൂണർ തന്നു, അലി M3329B പ്രോസസറിലെ ഏറ്റവും സാധാരണവും ലളിതവുമായ ഗ്ലോബോ. അത്തരം ലക്ഷണങ്ങളോടെ, അത് ഓണാക്കിയില്ല, ആദ്യം ഞാൻ വൈദ്യുതി വിതരണത്തെ കുറ്റപ്പെടുത്തി, പക്ഷേ ഒരു മൾട്ടിമീറ്റർ ഉപയോഗിച്ച് എല്ലാ വോൾട്ടേജുകളും പരിശോധിച്ച ശേഷം, വൈദ്യുതി വിതരണത്തിൽ എല്ലാം ശരിയാണെന്ന് തെളിഞ്ഞു. ഈ റിസീവറുകൾ നന്നാക്കുന്നതിനെക്കുറിച്ചുള്ള കുറച്ച് വ്യത്യസ്ത ലേഖനങ്ങൾ പഠിച്ചതിന് ശേഷം, രോഗലക്ഷണങ്ങൾ വിലയിരുത്തിയാൽ, ഫേംവെയർ പൂർണ്ണമായും നഷ്ടപ്പെട്ടുവെന്ന നിഗമനത്തിൽ ഞാൻ എത്തി, ഒരു JTAG പ്രോഗ്രാമർ വഴി അത് ഫ്ലാഷ് ചെയ്യുന്നതിലൂടെ അത് പുനഃസ്ഥാപിക്കാനാകും. അത് പൂർണ്ണമായും കത്തിനശിച്ചതിനാൽ പുനഃസ്ഥാപിക്കാൻ കഴിയില്ല എന്ന ചിന്തയും ഉണ്ടായിരുന്നു, പക്ഷേ JTAG വഴിയുള്ള ഫേംവെയർ സഹായിക്കുമെന്ന് വിശ്വസിക്കാൻ ഞാൻ ഇപ്പോഴും താൽപ്പര്യപ്പെടുന്നു.

നിർമ്മാണത്തിനായി ഞാൻ ഈ സ്കീം തിരഞ്ഞെടുത്തു:

അത് ബന്ധിപ്പിച്ചിരിക്കുന്ന റിസീവറിൽ നിന്ന് സർക്യൂട്ടിലേക്ക് വൈദ്യുതി വിതരണം ചെയ്യുന്നു. രണ്ട് കാരണങ്ങളാൽ സർക്യൂട്ടിനായി ഒരു ബാഹ്യ വൈദ്യുതി വിതരണം ഉപയോഗിക്കേണ്ടതില്ല. ഒന്നാമതായി, നിലവിലെ ഉപഭോഗം വളരെ ചെറുതാണ്, റിസീവറിൻ്റെ വൈദ്യുതി വിതരണത്തിൽ അധിക ലോഡ് സൃഷ്ടിക്കുന്നില്ല, രണ്ടാമതായി, ഫ്ലാഷ് മെമ്മറിയുള്ള പ്രോസസ്സറിൻ്റെ അതേ ഉറവിടത്തിൽ നിന്നുള്ള വൈദ്യുതി വിതരണം ലോജിക്കൽ ലെവലുകളുടെ പൊരുത്തപ്പെടുത്തൽ മെച്ചപ്പെടുത്തുന്നു.

74HC244 ഒരു വിപരീത ബഫർ അല്ല. ചിപ്പിൽ രണ്ട് സ്വതന്ത്ര നാല്-ബിറ്റ് ബഫറുകൾ അടങ്ങിയിരിക്കുന്നു. ഓരോ ബഫറിനും അതിൻ്റേതായ ഔട്ട്‌പുട്ട് പ്രവർത്തനക്ഷമമായ സിഗ്നൽ ഉണ്ട് (ആക്റ്റീവ് ലോ). ഇൻപുട്ടുകളിൽ ഷ്മിറ്റ് ട്രിഗറുകൾ ഒന്നുമില്ല. മൈക്രോ സർക്യൂട്ട് "ഫാസ്റ്റ്" CMOS സാങ്കേതികവിദ്യ ഉപയോഗിച്ചാണ് നിർമ്മിച്ചിരിക്കുന്നത്, ഇത് ഉയർന്ന പ്രകടനം ഉറപ്പാക്കുന്നു. കപ്പാസിറ്റീവ് ലോഡിൽ പോലും ഉയർന്ന പ്രകടനം നിലനിർത്താൻ ശക്തമായ കറൻ്റ് ഔട്ട്പുട്ട് സാധ്യമാക്കുന്നു. 74HC244 ൻ്റെ പ്രകടനം Schottky ഡയോഡുകളെ അടിസ്ഥാനമാക്കിയുള്ള ചിപ്പുകളുമായി താരതമ്യപ്പെടുത്താവുന്നതാണ്, അതേസമയം 74HC244 CMOS ചിപ്പുകളുടെ ഗുണങ്ങൾ നിലനിർത്തുന്നു, അതായത്. ഉയർന്ന ശബ്ദ പ്രതിരോധവും കുറഞ്ഞ വൈദ്യുതി ഉപഭോഗവും. മൈക്രോ സർക്യൂട്ടിൻ്റെ ഇൻപുട്ടുകൾ ഡയോഡുകൾ ഉപയോഗിച്ച് സ്റ്റാറ്റിക് വൈദ്യുതി ഉപയോഗിച്ച് കേടുപാടുകളിൽ നിന്ന് സംരക്ഷിക്കപ്പെടുന്നു.

അയ്യോ, എൻ്റെ വിതരണത്തിൽ 74HC244 കണ്ടെത്തിയില്ല. വിതരണ വോൾട്ടേജ് വിസിസിയിൽ അല്പം വ്യത്യാസമുള്ള 74F244 ൻ്റെ ഒരു അനലോഗ് മാത്രമാണ് ഞാൻ കണ്ടെത്തിയത്. 74HC244 ന് 2 മുതൽ 6 V വരെ ശുപാർശ ചെയ്യപ്പെടുന്ന വോൾട്ടേജ് ഉണ്ട്, കൂടാതെ 74F244 ന് ശുപാർശ ചെയ്യുന്ന വോൾട്ടേജ് 4.5 മുതൽ 5.5 V വരെയാണ്. പരമാവധി പരിധി -0.5 മുതൽ +7 V വരെ ആണെങ്കിലും, അതിനാൽ ബുദ്ധിമുട്ടിക്കേണ്ടതില്ലെന്നും നിർമ്മാണം ആരംഭിക്കരുതെന്നും ഞാൻ തീരുമാനിച്ചു.

ആദ്യ ചിത്രത്തിലെ യഥാർത്ഥ ഡയഗ്രം എടുത്ത് ഡിപ്ട്രേസ് പ്രോഗ്രാമിൽ വീണ്ടും വരയ്ക്കുമ്പോൾ, ഞങ്ങൾക്ക് ഈ ഡയഗ്രം ലഭിച്ചു:

എല്ലാം യാന്ത്രികമായി കണ്ടെത്തി, ഒരു ലൈൻ മാത്രം കണ്ടെത്തിയില്ല, എന്നാൽ ഈ പ്രശ്നം രണ്ട് SMD ജമ്പർമാർ പരിഹരിച്ചു. മുകളിലെ ചിത്രം നിർമ്മാണത്തിന് തയ്യാറായ ഒരു പ്രിൻ്റഡ് സർക്യൂട്ട് ബോർഡാണ്.

ബോർഡിൽ ഞാൻ എല്ലാ പിന്നുകളിലും ഒപ്പിട്ടു, പക്ഷേ നിർഭാഗ്യവശാൽ ഞാൻ ഔട്ട്‌പുട്ട് സിഗ്നലുകളിൽ തെറ്റായി ഒപ്പിട്ടു, യഥാർത്ഥ ഉറവിടം 1- GND, 2- TCK, 3- TMS, 4- TDO, 5- TDI, 6- RST എന്നിവയിൽ കാണാൻ കഴിയും , പക്ഷെ എനിക്ക് GND, TMS, TCK, TDI, TDO, RST എന്നിവ ലഭിച്ചു, ഞാൻ കോൺടാക്റ്റുകളിൽ ഒപ്പിട്ടപ്പോൾ എനിക്ക് ഒരു തെറ്റ് സംഭവിച്ചു, ഡയഗ്രം അനുസരിച്ച് എല്ലാം ശരിയാണ്, യഥാർത്ഥ ഉറവിടം അനുസരിച്ച്, അതായത്. 1- GND, 2- TCK, 3- TMS, 4- TDO, 5- TDI, 6- RST.

ശരിയായ പിൻ പദവികളുള്ള PCB:

യഥാർത്ഥത്തിൽ, പ്രധാന കാര്യം ഒരു ഗെറ്റിനാക്സ്, ഒരു ഫയൽ, ഒരു ചെറിയ ഹാൻഡ് സോ, സാൻഡ്പേപ്പർ എന്നിവയാണ്. ഗെറ്റിനാക്സിനെ 2 ഭാഗങ്ങളായി വിഭജിക്കാനുള്ള ഒരു സ്ക്രൂഡ്രൈവറും കട്ടറും, കാരണം എൻ്റെ കഷണം ഇരുവശത്തും ഫോയിൽ പൂശിയതിനാൽ ഞങ്ങളുടെ ബോർഡ് ലളിതവും ഏകപക്ഷീയവുമാണ്.

എല്ലാ ജോലികളും പൂർത്തിയാക്കി, ഗെറ്റിനാക്സ് ബോർഡിൻ്റെ വലുപ്പത്തിലേക്ക് (ഏകദേശം 55x50 മില്ലിമീറ്റർ) തിരിക്കുന്നു, ഞങ്ങൾ COMET ക്ലീനിംഗ് ഏജൻ്റ് പൊടിയിലും ഒരു പാത്രം കഴുകുന്ന സ്പോഞ്ചിലും എടുക്കുന്നു. കൊഴുപ്പിൻ്റെയും അഴുക്കിൻ്റെയും അവശിഷ്ടങ്ങളിൽ നിന്ന് ഞങ്ങൾ ഗെറ്റിനാക്സ് വൃത്തിയാക്കുന്നു. ശേഷിക്കുന്ന വെള്ളം തുടയ്ക്കാതെ, ഉണങ്ങാൻ അനുവദിക്കുന്നതാണ് നല്ലത്.

ഗെറ്റിനാക്സ് ഉണങ്ങുമ്പോൾ, ഞങ്ങൾ കമ്പ്യൂട്ടറിലേക്ക് പോയി ഒരു ലേസർ പ്രിൻ്ററിൽ ഞങ്ങളുടെ ഡയഗ്രം പ്രിൻ്റ് ചെയ്യുകയും മിറർ ഇമേജിലെ ഫോട്ടോ പേപ്പർ പ്രിൻ്റ് ചെയ്യുകയും ചെയ്യുന്നു, ഇത് പരമാവധി പ്രിൻ്റ് ഗുണനിലവാരം സൂചിപ്പിക്കുന്നു. മിറർ ഇമേജ് സജ്ജീകരിക്കാൻ മറക്കാതിരിക്കേണ്ടത് പ്രധാനമാണ്, അല്ലാത്തപക്ഷം ബോർഡിലെ എല്ലാ കാര്യങ്ങളും ഞങ്ങൾ പുറത്തെടുക്കും!

അതിനാൽ, ഗെറ്റിനാക്സ് തയ്യാറാണ്, പ്രിൻ്റ് ചെയ്ത സർക്യൂട്ട് ബോർഡ് പ്രിൻ്റ് ചെയ്തു, ഫോട്ടോ പേപ്പറിലെ പ്രിൻ്റഡ് സർക്യൂട്ട് ബോർഡിൻ്റെ രൂപകൽപ്പനയിലേക്ക് ഗെറ്റിനാക്‌സിൻ്റെ അരികുകൾ ശ്രദ്ധാപൂർവ്വം ഘടിപ്പിക്കുക, പശ പേപ്പർ ടേപ്പ് ഉപയോഗിച്ച് ഗെറ്റിനാക്സിലേക്ക് അറ്റാച്ചുചെയ്യുക, ഇരുമ്പ് എടുത്ത് സജ്ജമാക്കുക. പരമാവധി താപനിലയിലേക്ക്.

സ്വാഭാവികമായും, പ്രിൻ്റഡ് സർക്യൂട്ട് ബോർഡ് പാറ്റേണിലേക്ക് ഗെറ്റിനാക്കുകളുടെ ഫോയിൽ സൈഡ്.

ഇരുമ്പ് ചൂടാകുമ്പോൾ, ശക്തമായി അമർത്തി, ഞങ്ങൾ ഇരുമ്പ് ചെയ്യാൻ തുടങ്ങുന്നു - പേപ്പർ വശത്ത് നിന്ന് ഗെറ്റിനാക്കുകൾ തുല്യമായി ചൂടാക്കുന്നു. ഈ വലിപ്പത്തിലുള്ള ഒരു ബോർഡ് ഞങ്ങൾ 30-60 സെക്കൻഡിൽ കൂടുതൽ ചൂടാക്കുന്നു, അല്ലാത്തപക്ഷം ടോണർ വ്യാപിക്കും. നിങ്ങളുടെ ഫോണിൽ ഒരു ടൈമർ സജ്ജീകരിക്കാൻ ഞാൻ ശുപാർശ ചെയ്യുന്നു, അതുവഴി നിങ്ങളുടെ കണ്ണുകൾക്ക് മുന്നിൽ സമയം അടുത്തിരിക്കുന്നു. എല്ലാം പൂർത്തിയാകുമ്പോൾ, ബോർഡ് തണുക്കാൻ അനുവദിക്കുക.

ഞങ്ങൾ ബോർഡിൽ നിന്ന് ഫോട്ടോ പേപ്പർ കീറിക്കളയുന്നു, ഞങ്ങളുടെ മുന്നിൽ ഒരു ഫിനിഷ്ഡ് ബോർഡ് ഉണ്ട്, അത് ഫെറിക് ക്ലോറൈഡ് FeCl₃ ൽ കൊത്തിവയ്ക്കാൻ അവശേഷിക്കുന്നു, ചെറിയ കുറവുകളുണ്ടെങ്കിൽ, കൊത്തുന്നതിന് മുമ്പ്, ഞങ്ങൾ ഒരു സ്കാൽപലും നേർത്ത മാർക്കറും ഉപയോഗിച്ച് ട്രാക്കുകൾ ശരിയാക്കുന്നു. ഡിസ്കുകൾ.

ഫെറിക് ക്ലോറൈഡ് ഉപയോഗിച്ച് എച്ചിംഗ് പ്രക്രിയയിൽ, പരിഹാരം തുടർച്ചയായി ഇളക്കിവിടേണ്ടത് ആവശ്യമാണ്, ഉദാഹരണത്തിന് ഗ്ലാസ്വെയർ കുലുക്കുക. ബോർഡിൻ്റെ വലുപ്പം വളരെ വലുതല്ലെങ്കിൽ, നിങ്ങൾക്ക് പാറ്റേൺ ഉപയോഗിച്ച് പരിഹാരത്തിൻ്റെ ഉപരിതലത്തിൽ ബോർഡ് സ്ഥാപിക്കാൻ കഴിയും - അത് കുലുക്കേണ്ട ആവശ്യമില്ല, പക്ഷേ എച്ചിംഗ് പ്രക്രിയയുടെ അവസാനം ട്രാക്കുചെയ്യുന്നത് ബുദ്ധിമുട്ടാണ്. ഫെറിക് ക്ലോറൈഡ് ഉപയോഗിച്ചുള്ള കൊത്തുപണി സമയം 5 മുതൽ 50 മിനിറ്റ് വരെയാണ്, ഇത് താപനില, ലായനിയുടെ സാന്ദ്രത, ചെമ്പ് ഉപയോഗിച്ചുള്ള മലിനീകരണം, ചെമ്പ് ഫോയിലിൻ്റെ കനം എന്നിവയെ ആശ്രയിച്ചിരിക്കുന്നു. കൊത്തിയെടുത്ത ശേഷം, ബോർഡ് ഒഴുകുന്ന വെള്ളത്തിൽ കഴുകി ഉണക്കണം.

തൽഫലമായി, ഞങ്ങൾക്ക് ഈ പ്രിൻ്റഡ് സർക്യൂട്ട് ബോർഡ് ലഭിക്കും

ഞങ്ങൾ കോമറ്റ് പൊടി ഉപയോഗിച്ച് ടോണറും വൃത്തിയാക്കുന്നു, അത് നന്നായി പിടിക്കുന്നു, ബോർഡ് ട്രാക്കുകൾക്ക് കേടുപാടുകൾ വരുത്താതിരിക്കാൻ ഞങ്ങൾ അത് സാവധാനം വൃത്തിയാക്കുന്നു.

ടോണർ വൃത്തിയാക്കിയ ശേഷം, മനോഹരമായ ഒരു പ്രിൻ്റഡ് സർക്യൂട്ട് ബോർഡ് നമുക്ക് കാണാം


ഇപ്പോൾ നമുക്ക് ഘടകങ്ങൾ സോൾഡറിംഗ് ആരംഭിക്കാം: